日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

芯片算法模塊的驗(yàn)證方法、裝置、電子設(shè)備及存儲(chǔ)介質(zhì)與流程

文檔序號(hào):39728990發(fā)布日期:2024-10-22 13:33閱讀:3來(lái)源:國(guó)知局
芯片算法模塊的驗(yàn)證方法、裝置、電子設(shè)備及存儲(chǔ)介質(zhì)與流程

本發(fā)明涉及計(jì)算機(jī),尤其涉及一種芯片算法模塊的驗(yàn)證方法、裝置、電子設(shè)備及存儲(chǔ)介質(zhì)。


背景技術(shù):

1、隨著芯片技術(shù)的不斷發(fā)展,芯片設(shè)計(jì)的復(fù)雜程度也不斷提高,使得芯片驗(yàn)證的復(fù)雜度大大增加,驗(yàn)證占整個(gè)芯片開發(fā)流程時(shí)間的比重大。尤其是算法類的芯片內(nèi)部包含了多個(gè)復(fù)雜的算法模塊,使得芯片的設(shè)計(jì)驗(yàn)證相當(dāng)復(fù)雜。

2、現(xiàn)階段,主要通過(guò)uvm(universal?verification?methodology,通用驗(yàn)證方法學(xué))驗(yàn)證平臺(tái)來(lái)對(duì)對(duì)芯片中的各算法模塊進(jìn)行驗(yàn)證,但其不能靈活地實(shí)現(xiàn)不同類型算法模塊的驗(yàn)證,組件之間的參數(shù)傳遞和工作流程調(diào)度使得驗(yàn)證平臺(tái)的復(fù)雜度較高,開發(fā)驗(yàn)證環(huán)境的效率較低。

3、如何在提升芯片算法模塊驗(yàn)證效率的同時(shí),減少芯片算法模塊驗(yàn)證的復(fù)雜度,縮短開發(fā)周期是業(yè)內(nèi)研究的重點(diǎn)問(wèn)題。


技術(shù)實(shí)現(xiàn)思路

1、本發(fā)明提供了一種芯片算法模塊的驗(yàn)證方法、裝置、電子設(shè)備及存儲(chǔ)介質(zhì),以在提升芯片算法模塊驗(yàn)證效率的同時(shí),減少芯片算法模塊驗(yàn)證的復(fù)雜度,縮短開發(fā)周期。

2、根據(jù)本發(fā)明的一方面,提供了一種芯片算法模塊的驗(yàn)證方法,該方法包括:

3、接收待驗(yàn)證芯片的目標(biāo)算法模塊的驗(yàn)證請(qǐng)求,并確定所述目標(biāo)算法模塊的接口類型;所述接口類型包括先進(jìn)先出(first?in?first?out,fifo)接口類型和/或直接存儲(chǔ)器訪問(wèn)(direct?memory?access,dma)接口類型;

4、根據(jù)所述接口類型在預(yù)先搭建好的目標(biāo)測(cè)試系統(tǒng)中確定與所述目標(biāo)算法模塊匹配的驗(yàn)證方案;

5、基于所述驗(yàn)證方案對(duì)所述目標(biāo)算法模塊進(jìn)行驗(yàn)證。

6、根據(jù)本發(fā)明的另一方面,提供了一種芯片算法模塊的驗(yàn)證裝置,該裝置包括:

7、接口類型確定模塊,用于接收待驗(yàn)證芯片的目標(biāo)算法模塊的驗(yàn)證請(qǐng)求,并確定所述目標(biāo)算法模塊的接口類型;所述接口類型包括fifo接口類型和/或直dma接口類型;

8、驗(yàn)證方案確定模塊,用于根據(jù)所述接口類型在預(yù)先搭建好的目標(biāo)測(cè)試系統(tǒng)中確定與所述目標(biāo)算法模塊匹配的驗(yàn)證方案;

9、驗(yàn)證模塊,用于基于所述驗(yàn)證方案對(duì)所述目標(biāo)算法模塊進(jìn)行驗(yàn)證。

10、根據(jù)本發(fā)明的另一方面,提供了一種電子設(shè)備,所述電子設(shè)備包括:

11、至少一個(gè)處理器;以及

12、與所述至少一個(gè)處理器通信連接的存儲(chǔ)器;其中,

13、所述存儲(chǔ)器存儲(chǔ)有可被所述至少一個(gè)處理器執(zhí)行的計(jì)算機(jī)程序,所述計(jì)算機(jī)程序被所述至少一個(gè)處理器執(zhí)行,以使所述至少一個(gè)處理器能夠執(zhí)行本發(fā)明任一實(shí)施例所述的芯片算法模塊的驗(yàn)證方法。

14、根據(jù)本發(fā)明的另一方面,提供了一種計(jì)算機(jī)可讀存儲(chǔ)介質(zhì),所述計(jì)算機(jī)可讀存儲(chǔ)介質(zhì)存儲(chǔ)有計(jì)算機(jī)指令,所述計(jì)算機(jī)指令用于使處理器執(zhí)行時(shí)實(shí)現(xiàn)本發(fā)明任一實(shí)施例所述的芯片算法模塊的驗(yàn)證方法。

15、根據(jù)本發(fā)明的另一方面,提供了一種計(jì)算機(jī)程序產(chǎn)品,包括計(jì)算機(jī)程序,所述計(jì)算機(jī)程序在被處理器執(zhí)行時(shí)實(shí)現(xiàn)本發(fā)明任一實(shí)施例所述的芯片算法模塊的驗(yàn)證方法。

16、本發(fā)明實(shí)施例的技術(shù)方案,通過(guò)接收待驗(yàn)證芯片的目標(biāo)算法模塊的驗(yàn)證請(qǐng)求,并確定所述目標(biāo)算法模塊的接口類型;所述接口類型包括fifo接口類型和/或dma接口類型;根據(jù)所述接口類型在預(yù)先搭建好的目標(biāo)測(cè)試系統(tǒng)中確定與所述目標(biāo)算法模塊匹配的驗(yàn)證方案;基于所述驗(yàn)證方案對(duì)所述目標(biāo)算法模塊進(jìn)行驗(yàn)證,解決了通過(guò)uvm驗(yàn)證平臺(tái)對(duì)對(duì)芯片中算法模塊進(jìn)行驗(yàn)證,驗(yàn)證復(fù)雜且效率低的問(wèn)題,可以在提升芯片算法模塊驗(yàn)證效率的同時(shí),減少芯片算法模塊驗(yàn)證的復(fù)雜度,縮短開發(fā)周期。

17、應(yīng)當(dāng)理解,本部分所描述的內(nèi)容并非旨在標(biāo)識(shí)本發(fā)明的實(shí)施例的關(guān)鍵或重要特征,也不用于限制本發(fā)明的范圍。本發(fā)明的其它特征將通過(guò)以下的說(shuō)明書而變得容易理解。



技術(shù)特征:

1.一種芯片算法模塊的驗(yàn)證方法,其特征在于,包括:

2.根據(jù)權(quán)利要求1所述的芯片算法模塊的驗(yàn)證方法,其特征在于,所述目標(biāo)測(cè)試系統(tǒng)包括:環(huán)境層,所述環(huán)境層包含配置模塊、第一接口驗(yàn)證模塊以及第二接口驗(yàn)證模塊;

3.根據(jù)權(quán)利要求2所述的芯片算法模塊的驗(yàn)證方法,其特征在于,所述第一接口驗(yàn)證模塊包括:輸入代理、輸出代理、參考模型以及比較器;所述輸入代理通過(guò)fifo接口分別與目標(biāo)算法模塊以及所述參考模型通信連接;所述輸出代理通過(guò)fifo接口分別與目標(biāo)算法模塊以及所述比較器通信連接;所述參考模型以及所述比較器通過(guò)fifo接口通信連接;

4.根據(jù)權(quán)利要求2所述的芯片算法模塊的驗(yàn)證方法,其特征在于,所述第二接口驗(yàn)證模塊包括:隨機(jī)輸入產(chǎn)生模塊、傳遞模塊、參考模型以及比較器;所述隨機(jī)輸入產(chǎn)生模塊通過(guò)dma接口分別與目標(biāo)算法模塊以及所述參考模型通信連接;所述傳遞模塊通過(guò)dma接口分別與目標(biāo)算法模塊以及所述比較器通信連接;所述參考模型以及所述比較器通過(guò)dma接口通信連接;

5.根據(jù)權(quán)利要求1所述的芯片算法模塊的驗(yàn)證方法,其特征在于,所述接收待驗(yàn)證芯片的目標(biāo)算法模塊的驗(yàn)證請(qǐng)求,確定所述目標(biāo)算法模塊的接口類型,包括:

6.根據(jù)權(quán)利要求1所述的芯片算法模塊的驗(yàn)證方法,其特征在于,所述目標(biāo)測(cè)試系統(tǒng)包括:測(cè)試用例層;所述測(cè)試用例層包含向量產(chǎn)生器;

7.根據(jù)權(quán)利要求1所述的芯片算法模塊的驗(yàn)證方法,其特征在于,所述方法還包括:

8.一種芯片算法模塊的驗(yàn)證裝置,其特征在于,包括:

9.一種電子設(shè)備,其特征在于,所述電子設(shè)備包括:

10.一種計(jì)算機(jī)可讀存儲(chǔ)介質(zhì),其特征在于,所述計(jì)算機(jī)可讀存儲(chǔ)介質(zhì)存儲(chǔ)有計(jì)算機(jī)指令,所述計(jì)算機(jī)指令用于使處理器執(zhí)行時(shí)實(shí)現(xiàn)權(quán)利要求1-7中任一項(xiàng)所述的芯片算法模塊的驗(yàn)證方法。


技術(shù)總結(jié)
本發(fā)明實(shí)施例公開了一種芯片算法模塊的驗(yàn)證方法、裝置、電子設(shè)備及存儲(chǔ)介質(zhì)。該方法包括:接收待驗(yàn)證芯片的目標(biāo)算法模塊的驗(yàn)證請(qǐng)求,并確定所述目標(biāo)算法模塊的接口類型;所述接口類型包括FIFO接口類型和/或DMA接口類型;根據(jù)所述接口類型在預(yù)先搭建好的目標(biāo)測(cè)試系統(tǒng)中確定與所述目標(biāo)算法模塊匹配的驗(yàn)證方案;基于所述驗(yàn)證方案對(duì)所述目標(biāo)算法模塊進(jìn)行驗(yàn)證。本發(fā)明實(shí)施例的方案,解決了通過(guò)UVM驗(yàn)證平臺(tái)對(duì)對(duì)芯片中算法模塊進(jìn)行驗(yàn)證,驗(yàn)證復(fù)雜且效率低的問(wèn)題,可以在提升芯片算法模塊驗(yàn)證效率的同時(shí),減少芯片算法模塊驗(yàn)證的復(fù)雜度,縮短開發(fā)周期。

技術(shù)研發(fā)人員:羅力川,王希朝,李祥軍,蘇國(guó)彬,劉迪軍
受保護(hù)的技術(shù)使用者:宸芯科技股份有限公司
技術(shù)研發(fā)日:
技術(shù)公布日:2024/10/21
網(wǎng)友詢問(wèn)留言 已有0條留言
  • 還沒(méi)有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1