日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

用于控制訪問部分掃描鏈和/或從其退出的方法和裝置的制作方法

文檔序號:6131013閱讀:143來源:國知局

專利名稱::用于控制訪問部分掃描鏈和/或從其退出的方法和裝置的制作方法
技術(shù)領(lǐng)域
:本發(fā)明一般涉及集成電路(IC),并且更具體地,涉及用于在器件已經(jīng)離開制造工廠后,阻止未授權(quán)訪問包括在IC器件中的專有信息(proprietaryinformation)和/或從其退出的裝置、方法以及計算機(jī)程序產(chǎn)品。
背景技術(shù)
:由于集成電路(IC)和印刷電路板(PCB)已經(jīng)變得更小、更復(fù)雜和更強(qiáng)大,所以測試這樣的部件已經(jīng)變得越來越困難。這樣的高密度器件產(chǎn)生了若干獨(dú)特的制造挑戰(zhàn)如在設(shè)計中的測試點(diǎn)的可達(dá)性(accessibility)和測試設(shè)備的高成本。在80年代中期,一群歐洲公司形成了稱為聯(lián)合歐洲測試行動組(JETAG)的團(tuán)體,來處理設(shè)計中的測試點(diǎn)的可達(dá)性和測試設(shè)備的高成本的問題。JETAG團(tuán)體提出將硬件并入標(biāo)準(zhǔn)部件(由軟件控制)中,由此消除對于復(fù)雜的電路內(nèi)測試設(shè)備的需要。在1988年,該構(gòu)思在北美獲得契機(jī)(momentum),并且若干公司形成了聯(lián)合測試訪問組(JTAG)聯(lián)盟來正式化該概念。在1990年,電氣和電子工程師協(xié)會(IEEE)精煉了該構(gòu)思,并且創(chuàng)建了被稱為IEEE標(biāo)準(zhǔn)測試訪問端口和邊界掃描架構(gòu)的1149.1標(biāo)準(zhǔn)。設(shè)計的JTAG規(guī)范使用邊界掃描技術(shù),其使工程師能通過許多專用測試管腳(pin)在系統(tǒng)上執(zhí)行廣泛的調(diào)試和診斷。信號被掃描入和掃描出串行連接到器件的各I/O管腳的各寄存器,以在各種條件下控制它的輸入和測試輸出。通過它們自身,各I/O管腳提供有限的可見度(visibility)到器件的工作中。然而在可掃描的器件中,各寄存器以專用路徑在器件邊界周圍連接。該路徑創(chuàng)建了圍繞正常輸入的虛擬訪問能力,并且4是供了器件的直接控制和在其輸出的詳細(xì)的可見度?,F(xiàn)今,邊界掃描技術(shù)是用于工業(yè)中的測試技術(shù)的最流行和廣泛使用的設(shè)計。在測試期間,I/O信號通過邊界掃描寄存器進(jìn)入和離開芯片。邊界掃描寄存器能夠被配置來支持各芯片之間互連的外部測試、或芯片內(nèi)邏輯的內(nèi)部測試。為了提供邊界掃描能力,IC供應(yīng)商增加額外的邏輯到他們的器件,包括多種其它寄存器類型、連接到這些其它寄存器的專用掃描路徑、四或五個額外的管腳以及控制電路。用于該額外邏輯的費(fèi)用(overhead)通常4艮值得為具有有效的測試能力的代價。被總稱為測試訪問端口(TAP)的邊界各掃描控制信號,定義了用于基于掃描的器件的串行協(xié)議。這些信號的第一個,TCK7時鐘同步內(nèi)部狀態(tài)機(jī)各操作。第二信號,TMS/模式選擇在TCK的上升沿采樣以確定下一個狀態(tài)。第三信號,TDI/數(shù)據(jù)入(data-in)在TCK的上升沿被采樣,并且當(dāng)內(nèi)部狀態(tài)機(jī)處于正確狀態(tài)時被移入器件的測試或編程邏輯。第四信號,TDO/數(shù)據(jù)出(data-out)表示移出器件的測試或編程邏輯的數(shù)據(jù),并且當(dāng)內(nèi)部狀態(tài)機(jī)處于正確狀態(tài)時在TCK的下降沿有效。最后,當(dāng)驅(qū)動低時,TRST/重置(可選)信號重置內(nèi)部狀態(tài)機(jī)。除TAP之外,邊界掃描鏈還包括以下各器件TAP控制器、指令寄存器、至少一個可掃描的測試數(shù)據(jù)寄存器、以及多個邊界掃描寄存器。TCK、TMS和TRST輸入管腳驅(qū)動16態(tài)TAP控制器狀態(tài)機(jī)。TAP控制器管理數(shù)據(jù)和各指令的交換。在TCK的每個上升沿,控制器基于TMS信號的值前進(jìn)到下一個狀態(tài)。用適當(dāng)?shù)牟季€,能夠同時測試多個IC/板。被稱為邊界掃描描述語言(BDSL)文件的外部文件,定義了任何單個器件的邊界掃描邏輯的能力。在正常操作中,指令寄存器通過TDI接收指令,將它解碼,并且依賴于TAP控制器的狀態(tài)選擇合適的數(shù)據(jù)寄存器。指令寄存器用來為一個或更多數(shù)據(jù)寄存器設(shè)置操作的模式,并且由TAP信號控制,并且能夠放置于TDI和TDO之間用于加載和卸載串行移位的數(shù)據(jù)。除了指令寄存器之外,能夠利用許多其它寄存器,包括數(shù)據(jù)寄存器、旁路寄存器、器件身份(identity)寄存器、以及多個用戶定義的寄存器。操作的特定寄存器由來自指令寄存器的指令控制。邊界掃描單元以四種不同的功能模式操作正常模式、捕獲模式、掃描模式和更新模式。每個模式狀態(tài)由模式信號控制。在正常模式下,邊界掃描單元是透明的(transparent)并且數(shù)據(jù)入值對應(yīng)于數(shù)據(jù)出值。在正常的IC活動期間,數(shù)據(jù)入和數(shù)據(jù)出自由地通過每個邊界掃描單元。在捕獲模式下,數(shù)據(jù)入移動穿過邊界掃描單元并且被存儲,因此在IR時鐘上應(yīng)用時鐘脈沖信號。數(shù)據(jù)出值依賴于模式。在掃描模式下,邊界掃描單元串聯(lián)連接以形成掃描入(scanin)和掃描出(scanout)信號通過的鏈。移位操作由IR時鐘信號控制。在更新模式下,先前通過掃描或捕獲操作加載的存入值,用IR時鐘脈沖鎖存到修正觸發(fā)器(flipflop)中。一旦被鎖存,信號可以通過該鏈并最終變成數(shù)據(jù)出值。TAP控制器是添加到IC管芯(die)自身的16態(tài)有限狀態(tài)機(jī),并且其識別通信協(xié)議、并生成由邊界掃描鏈的剩余部分使用的內(nèi)部控制信號。TAP控制器只由TCK、TMS以及可選地TRST驅(qū)動。這些信號編程TAP控制器,生成用于指令和測試數(shù)據(jù)寄存器的控制信號和時鐘。只有三種事件能夠觸發(fā)TAP控制器狀態(tài)的改變TCK上升沿、斷定(assertion)邏輯0在TRST上(如果它存在)、以及系統(tǒng)通電。通過TAP控制器的移動由TMS的值控制,該值為先于TCK上升沿的設(shè)立時間。鄰近每個狀態(tài)轉(zhuǎn)變弧的ls和Os顯示該值,其必須在下一個TCK上升沿時呈現(xiàn)在TMS上。TRST的斷定將總是使TAP控制器到重置狀態(tài)。標(biāo)準(zhǔn)測試過程或使用邊界掃描技術(shù)檢驗(yàn)器件或電路板步驟如下首先,測試在設(shè)備的各輸入管腳施加測試或診斷數(shù)據(jù)。接下來,邊界掃描單元在監(jiān)視輸入管腳的邊界掃描系統(tǒng)中捕獲數(shù)據(jù)。為了檢驗(yàn),數(shù)據(jù)然后經(jīng)由TDO管腳掃描出器件。然后數(shù)據(jù)能夠經(jīng)由TDI管腳掃描入器件。最后測試器能夠檢驗(yàn)在器件輸出管腳上的數(shù)據(jù)。掃描測試能夠發(fā)現(xiàn)制造缺陷,如電路板上未連接的管腳、缺少的器件、不正確或旋轉(zhuǎn)的器件,甚至故障或壞(dead)器件。掃描測試技術(shù)的一個優(yōu)點(diǎn)是獨(dú)立于應(yīng)用邏輯觀測器件輸入處的數(shù)據(jù)和控制輸出處的數(shù)據(jù)的能力。掃描測試的另一個好處是能夠察看和/或訪問內(nèi)部數(shù)據(jù),否則該數(shù)據(jù)在I/O管腳處是不可用的。另一個好處是減少用于器件訪問所需要的總的測試點(diǎn)數(shù)目的能力。用邊界掃描,不存在物理的測試點(diǎn)。這能夠幫助降低板制作成本并且增加封裝密度。邊界掃描提供了一套比其它測試技術(shù)更好的診斷法。傳統(tǒng)的技術(shù)將測試矢量(模式)施加到器件的輸入并且監(jiān)視輸出。如果測試存在問題,那么分離該問題會是費(fèi)時的。必須運(yùn)行另外的測試來分離該故障。用JTAG邊界掃描,邊界掃描寄存器通過監(jiān)視器件的各輸入管腳來觀測器件的響應(yīng)。這使得能容易地分離各類測試故障,如管腳沒有與電路板接觸。邊界掃描能夠用于從內(nèi)部IC測試到板級(board-level)測試的各種級別的功能測試和調(diào)試。該技術(shù)甚至可用于硬件/軟件集成測試。盡管邊界掃描現(xiàn)在是特別好的測試掃描鏈設(shè)計,但是另一種掃描設(shè)計是電平敏感掃描設(shè)計(LSSD),其使用分離的系統(tǒng)和掃描時鐘來區(qū)別正常和測試模式。成對使用鎖存器(latch),每個具有正常的數(shù)據(jù)輸入、數(shù)據(jù)輸出和時鐘用于系統(tǒng)操作。為了測試操作,兩個鎖存器形成主/從對,其具有一個掃描輸入、一個掃描輸出和非重疊的掃描時鐘A和B,該掃描時鐘在系統(tǒng)操作期間保持為低,但當(dāng)在掃描期間脈沖高時導(dǎo)致掃描數(shù)據(jù)被鎖存。使用LSSD設(shè)計的優(yōu)點(diǎn)在于使用LSSD,測試問題變?yōu)榻M合電路測試而不是順序電路測試,并且LSSD測試增加了掃描控制器狀態(tài)變量的可控性。LSSD的缺點(diǎn)在于LSSD鎖存器需要更大的面積,將下一狀態(tài)鎖存到LSSD寄存器中以及將測試矢量掃描入和出需要更多時間,并且時鐘生成和分配更加復(fù)雜。另外較少使用的掃描鏈設(shè)計是隨機(jī)訪問掃描(RAS)和通用掃描設(shè)計(GSD)。LSSD、JTAG或等效設(shè)計為硬件或軟件黑客提供了接口或"后門",黑客即未授權(quán)訪問包括在可掃描器件中的信息,并且更具體地,獲得對嵌入在器件中的制造者的或其它被授權(quán)方(制造商的客戶)的專有信息的訪問、和/或從該專有信息退出的人。通過侵入(hackinto)這些可掃描器件的內(nèi)部專有信息,可以得到大量的經(jīng)濟(jì)受益,例如,入侵(hacking)能夠被用來激活或解鎖意圖在于付費(fèi)類型升級的特征。在這些系統(tǒng)中,通常使用加密力圖來保護(hù)專有數(shù)據(jù)。然而近來入侵技術(shù)的優(yōu)勢已經(jīng)允許黑客通過LSSD、JTAG或等效測試接口克服許多加密處理。例如,通過用計算機(jī)輔助的卡諾圖或通過輸出模式檢查分析LSSD、JTAG或等效可掃描系統(tǒng)的輸出模式,盜竊者能夠推斷可掃描器件的內(nèi)部邏輯。另外,在傳統(tǒng)的集成電路器件制造中,芯片上系統(tǒng)(SOC)和其它器件被設(shè)計和生產(chǎn)為用于相對專門的用途。按照這種類型的制造過程,固有地存在制造的比其它的更加先進(jìn)的芯片,并且一般而言,更先進(jìn)的芯片通??梢园▓?zhí)行次先進(jìn)的芯片的功能的能力。在該情形下,由于更先進(jìn)和次先進(jìn)芯片之間每塊芯片的成本差通??梢院雎裕詢H僅制造更先進(jìn)的芯片并將這些芯片用于全部應(yīng)用,從制造成本的觀點(diǎn)通常是可行的。在該情形下,更復(fù)雜的芯片可以實(shí)現(xiàn)為次復(fù)雜的配置,其具有未激活的芯片的未使用或更先進(jìn)的部分或模塊。類似地,當(dāng)更復(fù)雜的芯片實(shí)現(xiàn)為次復(fù)雜的應(yīng)用時,制造者具有當(dāng)需要成為必要時使芯片未激活的部分能夠升級芯片的選擇。然而,從商業(yè)的觀點(diǎn),由于芯片黑客可以開發(fā)處于次復(fù)雜配置的更先進(jìn)芯片的使用,例如,黑客將用未授權(quán)的方法解鎖未激活的芯片模塊,所以制造用于多個復(fù)雜應(yīng)用的單個芯片、并且不激活在次復(fù)雜的配置中使用的復(fù)雜芯片的更先進(jìn)部分會有問題。未授權(quán)的訪問芯片的未激活部分和/或從其退出減少了制造商的利潤,使得作為只制造更先進(jìn)芯片的結(jié)果的制造成本節(jié)約通常被消除。另外,在一些情況下,入侵可以導(dǎo)致器件可靠性的劣化,并且如果操作頻率升高可能導(dǎo)致突難性的故障(例如,器件過熱)。如果黑客不是終端用戶,例如,如果黑客在供應(yīng)鏈中并且將被侵入的器件傳遞到信任的終端用戶,該用戶然后將其返回到制造者或從制造者尋求對損害的賠償,那么這可能對于制造者是特別地成問題的。因此,需要這樣的方法和系統(tǒng),其用于在器件已經(jīng)離開制造工廠后,阻止通過測試接口未授權(quán)訪問內(nèi)部器件信息和/或從其退出。
發(fā)明內(nèi)容本發(fā)明提供了一種方法、裝置和程序產(chǎn)品,用于提供對可掃描器件內(nèi)的掃描鏈(JTAG、LSSD、RAS、GSD等)的部分的受控的訪問、和/或從其退出。該方法、裝置和程序產(chǎn)品利用放置在掃描鏈內(nèi)在要控制的掃描鏈部分之前的第一控制器件、放置在掃描鏈內(nèi)緊接要控制的掃描鏈部分之后的第二控制器件、以及耦合到第一控制器件和第二控制器件的訪問控制機(jī)制,其中該訪問控制機(jī)制控制訪問第一控制器件和第二控制器件之間的掃描鏈部分和/或從其退出??刂破骷钱?dāng)接收阻止信號時能阻止訪問邊界掃描鏈部分和/或從其退出、以及當(dāng)接收訪問信號時允許訪問邊界掃描鏈部分和/或從其退出的器件。訪問控制機(jī)制為控制器件提供阻止或訪問信號。在進(jìn)行這些時,訪問控制機(jī)制能夠選擇性地為控制器件提供阻止訪問或允許訪問的信號。在本發(fā)明的一個實(shí)施例中,使用例程來指令訪問控制機(jī)制應(yīng)該發(fā)送什么信號到控制器件。為了提供具有選擇性訪問功能的訪問控制機(jī)制,選擇性地調(diào)用該例程。作為示例,例程可以指令訪問控制機(jī)制以允許訪問模式或可替代地以阻止訪問模式操作。在允許訪問模式下,訪問控制機(jī)制為第一控制器件、或第二控制器件、或兩者提供訪問信號。然后一個或更多控制器件可以允許訪問邊界掃描鏈部分和/或從其退出,該邊界掃描鏈部分先前是被阻止或被允許的。在另一個實(shí)施例中,訪問控制機(jī)制是TAP控制器。在另一個本發(fā)明的實(shí)施例中,計算機(jī)例程包括編程指令,并且第一和第二控制器件是電熔絲(fUse)。該例程為訪問控制機(jī)制提供訪問控制機(jī)制應(yīng)該提供哪個信號到控制器件。在默認(rèn)條件下,不調(diào)用例程并且訪問控制機(jī)制以默認(rèn)模式操作。然而當(dāng)調(diào)用例程時,例程指令訪問控制機(jī)制來編程電熔絲。于是訪問控制機(jī)制發(fā)信號通知第一、或第二電熔絲或兩者。該信號提供編程能力到第一、或第二熔絲或兩者。在本發(fā)明的另一個實(shí)施例中,移除了例程。以這種方式,手動提供了編程指令(即,外部檢測器)。例如,通過直接為每個電熔絲提供編程信號編程電熔絲。以下結(jié)合附圖描述本發(fā)明的各種實(shí)施例圖l描繪現(xiàn)有技術(shù)電平^:感掃描設(shè)計掃描鏈;圖2描繪現(xiàn)有技術(shù)通用掃描設(shè)計掃描鏈;圖3描繪現(xiàn)有技術(shù)JTAG掃描鏈;圖4描繪電平敏感掃描設(shè)計鏈,其中訪問部分鏈和/或從其退出用TAP控制器控制;圖5描繪GSD掃描鏈,其中訪問部分鏈和/或從其退出用TAP控制器控制;圖6描繪訪問部分鏈和/或從其退出用電熔絲控制的掃描鏈;圖7描繪訪問部分鏈和/或從其退出用TAP控制器和電熔絲控制器件控制的掃描鏈;圖8描繪訪問部分鏈和/或從其退出被控制的掃描鏈,其中訪問控制機(jī)制是專用集成電路(ASIC);圖9A是控制訪問部分掃描鏈和/或從其退出的示例性方法的流程圖9B是允許訪問部分掃描鏈和/或從其退出的示例性方法的流程圖9C是接收訪問指令的訪問控制機(jī)制的流程圖10A是阻止訪問部分掃描鏈和/或從其退出的示例性方法的流程圖10B是接收阻止指令的訪問控制機(jī)制的流程圖。然而,要注意的是附圖僅僅圖示本發(fā)明的示例實(shí)施例,并且由于本發(fā)明可以允許其它等效的實(shí)施例,所以不認(rèn)為是限制它的范圍。具體實(shí)施例方式本發(fā)明的實(shí)施例提供了各種技術(shù)和系統(tǒng),憑借該技術(shù)和系統(tǒng),在器件已經(jīng)離開制造者的控制后,訪問電子器件的特殊邏輯和/或從其退出的操作可以被控制。器件可以包括用于制造、資格證明(qualification)、可靠性等的掃描鏈。然而這些掃描鏈能夠被用作用于入侵的后門,或?yàn)橛布蜍浖诳吞峁┙涌诨?后門",并且更具體地,獲得對嵌入器件中的制造者的或其它被授權(quán)方(制造者的客戶)的專有信息的訪問、和/或從其退出。因此本發(fā)明的各實(shí)施例教導(dǎo)控制訪問掃描鏈的一個或更多部分和/或從其退出。以下,參考本發(fā)明的各實(shí)施例。然而,應(yīng)該理解的是本發(fā)明不限于具體描述的各實(shí)施例。而是,不管是否涉及不同的實(shí)施例,下面各特征和各元件的任何組合被預(yù)期來實(shí)現(xiàn)和實(shí)踐本發(fā)明。此外,在各種實(shí)施例中本發(fā)明提供了許多超過現(xiàn)有技術(shù)的優(yōu)勢。然而,盡管本發(fā)明的各實(shí)施例可以獲得超過其它可能的解決方案和/或超過現(xiàn)有技術(shù)的優(yōu)勢,但是是否通過給定的實(shí)施例獲得特定的優(yōu)勢不是對本發(fā)明的限制。因此,以下的各方面、特征、實(shí)施例和優(yōu)勢僅僅是說明性的,并且不被認(rèn)為是權(quán)利要求的元素或限制,除了明確地在權(quán)利要求中陳述的情況外。同樣地,參考"本發(fā)明,,不應(yīng)解釋為在此公開的任何發(fā)明主題的概括,并且也不應(yīng)認(rèn)為是權(quán)利要求的元素或限制,除了明確地在權(quán)利要求中陳述的情況外。本發(fā)明的各實(shí)施例通常包括方法和系統(tǒng),其配置為通過器件上的、用于在制造過程期間測試該器件的接口,提供對集成電路器件的特定內(nèi)部部件(即,包括專有信息的指定的內(nèi)部存儲元件)的受限的訪問和/或從其退出。由于通常不激活本發(fā)明的方法和系統(tǒng)直到完成包括器件測試的器件制造過程,所以可以允許在制造過程期間訪問器件的內(nèi)部部件和/或從其退出。在完成器件的制造過程之后,本發(fā)明的方法和系統(tǒng)被激活或被提供以激活。此后,選擇性地允許訪問器件的內(nèi)部部件和/或從其退出。本發(fā)明的各實(shí)施例可以實(shí)現(xiàn)為用于與計算機(jī)或微處理器型系統(tǒng)一起使用的程序產(chǎn)品。該程序產(chǎn)品定義各實(shí)施例的功能(包括在此描述的各種方法)并且能夠被包括在多種信號載體介質(zhì)上。說明性信號載體介質(zhì)包括但是不限于(i)永久地存儲在非可寫存儲介質(zhì)上(例如,計算機(jī)中的只讀存儲器設(shè)備,如由CD-ROM驅(qū)動器可讀的CD-ROM盤)的信息;(ii)存儲在可寫存儲介質(zhì)上(例如,磁盤驅(qū)動器中的軟盤驅(qū)動器或硬盤驅(qū)動器)的可變信息;以及(iii)通過包括無線通信的通信介質(zhì)(如通過計算機(jī)或電話網(wǎng)絡(luò))傳送到計算機(jī)的信息。后面的實(shí)施例特別地包括從因特網(wǎng)和其它網(wǎng)絡(luò)下載的信息?!?dāng)攜帶指導(dǎo)本發(fā)明功能的計算機(jī)可讀的指令時,這樣的信號載體介質(zhì)表示本發(fā)明的實(shí)施例。掃描設(shè)計的主要思想是獲得對于觸發(fā)器的控制和可觀察性。當(dāng)所有的觸發(fā)器形成一個或更多連接到可掃描器件的內(nèi)部邏輯的移位寄存器時,測試協(xié)議被并掃描入設(shè)計中。這些被稱為存儲元件。輸入到這些存儲元件的數(shù)據(jù)入與原始的掃描入輸入耦合,并且存儲元件的輸出與原始輸出復(fù)用。因此在測試協(xié)議期間,掃描鏈中的任何觸發(fā)器能夠通過移位各值被設(shè)為特定值。以同樣的方式,通過從存儲元件移出各值,觀測了觸發(fā)器的邏輯值。每個觸發(fā)器能夠以時鐘周期的方式被設(shè)置或觀測。上述所有操作能夠被同時執(zhí)行。當(dāng)存儲元件中的一組值被讀取時,新的一組被移入。用于測試掃描鏈的通常過程如下切換到移位寄存器模式,并且將用于測試模式的初始狀態(tài)加載到觸發(fā)器中。返回正常功能模式并且應(yīng)用測試輸入模式。切換到移位寄存器模式,并且在為下一次迭代移入開始狀態(tài)時移出最終狀態(tài)。這樣,能夠設(shè)計順序電路使得它能夠被視為純組合電路,觸發(fā)器輸入和輸出分別被視為偽原始輸入和偽原始輸出。存在掃描鏈設(shè)計的若干變化,包括但不限于電平敏感掃描設(shè)計(LSSD)、隨機(jī)訪問掃描(RAS)、邊界掃描、以及通用掃描設(shè)計(GSD)。圖1圖示現(xiàn)有技術(shù)電平敏感掃描設(shè)計(LSSD)掃描鏈IOO。LSSD掃描鏈100由一串存儲元件101a-101h組成。每個存儲元件101a-101h包括主鎖存器102a-102h和從鎖存器103a-103h。存儲元件10la-101h、主鎖存器102a-102h、和從鎖存器103a-103h在此統(tǒng)稱為存儲元件101、主鎖存器102和從鎖存器103。主鎖存器102和從鎖存器103都由多功能時鐘控制以避免時序/竟?fàn)?timing/race)錯誤;ACK,BCK。主鎖存器102由ACK控制,并且從鎖存器103由BCK控制。主鎖存器102和從鎖存器103從不用相同的時鐘控制。在掃描模式中,ACK產(chǎn)生脈沖并且掃描入值被鎖存到主鎖存器102中。當(dāng)BCK產(chǎn)生脈沖時,主鎖存器102的值被復(fù)制到從鎖存器103中。ACK和BCK從不同時變高。當(dāng)TCK產(chǎn)生脈沖時,數(shù)據(jù)入(來自1/0管腳的值)(未示出)被鎖存到主鎖存器102中。當(dāng)BCK產(chǎn)生脈沖時,主鎖存器102的值被復(fù)制到從鎖存器103中。此外,ACK和BCK從不同時變高。例如,在掃描入由主鎖存器102a和>^人鎖存器103a鎖存后,ACK產(chǎn)生脈沖,并且從鎖存器103a的輸掃描出值被鎖存到掃描鏈的下一個存儲元件主鎖存器102b。從鎖存器103a的輸掃描出值通過線104連接到主鎖存器102b的輸入。在數(shù)據(jù)入由主鎖存器102a和從鎖存器103a鎖存后,TCK產(chǎn)生脈沖,并且從鎖存器103a的輸數(shù)據(jù)出值被傳入內(nèi)部邏輯和電路110。從鎖存器103a的輸數(shù)據(jù)出值通過線105連接到內(nèi)部邏輯和電路100。在一些應(yīng)用中,線104和線105是相同的線。類似地,在一些應(yīng)用中,線106和線107是相同的線。存儲元件101a-101d的數(shù)據(jù)入典型地是來自1/0管腳(未示出)的輸入。盡管只顯示四個這樣的存儲元件,即101a-101d,但是能夠存在多個這樣的存儲元件??商娲?,存儲元件101a-101d的數(shù)據(jù)入也能夠是來自先前的掃描鏈的數(shù)據(jù)出。從從鎖存器103退出時,存儲元件101a-101d的數(shù)據(jù)輸出由內(nèi)部邏輯100接收,并且在處理后變成任何一個或更多存儲元件101e-101h的數(shù)據(jù)入。如上所述,通過主和從鎖存器102和103,存儲元件101e-101h的數(shù)據(jù)入被鎖存,并且最終變成存儲元件101e-101h的數(shù)據(jù)出。存儲元件101e-101h的數(shù)據(jù)出典型地被發(fā)送到I/O管腳??商娲兀瑪?shù)據(jù)出也能夠是隨后的掃描鏈的數(shù)據(jù)入。鏈中最后的存儲元件101e的掃描輸出是掃描出。圖2圖示現(xiàn)有技術(shù)通用掃描設(shè)計(GSD)掃描鏈200。GSD掃描鏈200是使用一個功能時鐘的掃描鏈、以及存儲元件的替代配置的說明性示例。在LSSD中存在好處因?yàn)閽呙铚y試將通過使用兩個獨(dú)立的功能時鐘信號進(jìn)行,所以將不會出現(xiàn)時序錯誤,從而消除了竟?fàn)幥樾蔚目赡苄?。然而LSSD鏈的大小將增加。以這種方式,與LSSD的電路結(jié)構(gòu)相比,GSD的電路結(jié)構(gòu)能夠簡化。參照圖2,本發(fā)明圖示的實(shí)施例,GSD掃描鏈200包括多個存儲元件201a-201d。在存儲元件201a-201d中存在多路復(fù)用器202a-202d和邊緣觸發(fā)鎖存器203a-203d。在邊緣觸發(fā)鎖存器203中存在觸發(fā)器電路(未示出)。存儲元件201a-201d、多路復(fù)用器202a-202d和邊緣觸發(fā)鎖存器203a-203d由此統(tǒng)稱為存儲元件201、多路復(fù)用器202和邊緣觸發(fā)鎖存器203。多路復(fù)用器202在對應(yīng)的輸入0和1分別地接收掃描入信號和數(shù)據(jù)入信號,并且依賴于接收的掃描使能指令,多路復(fù)用器202將掃描或數(shù)據(jù)信號傳遞到邊緣觸發(fā)鎖存器203。通常掃描使能指令為低,其中數(shù)據(jù)傳遞到I/0管腳(未示出)或多個內(nèi)部邏輯(未示出)、和/或從其傳遞。因此在通常操作中,多路復(fù)用器202從掃描使能接收低信號。當(dāng)掃描使能為低時,多路復(fù)用器選擇數(shù)據(jù)入以傳遞到邊緣觸發(fā)鎖存器203。當(dāng)掃描使能為高時,多路復(fù)用器選擇掃描入以傳遞到邊緣觸發(fā)鎖存器203。邊緣觸發(fā)鎖存器201從多路復(fù)用器202接收掃描或數(shù)據(jù)信號,并且由功能時鐘CLK控制。當(dāng)CLK從低轉(zhuǎn)換到高時,來自多路復(fù)用器202的信號被鎖存到邊緣觸發(fā)鎖存器203中并且傳到I或Do。例如,來自邊緣觸發(fā)鎖存器203a的輸出信號在掃描線204或數(shù)據(jù)線205上退出邊緣觸發(fā)鎖存器203a。如果邊緣觸發(fā)鎖存器203a從多路復(fù)用器201a接收掃描信號,那么邊緣觸發(fā)鎖存器203a的輸出將為掃描型,并且在掃描線204上進(jìn)入到存儲元件201b。如果邊緣觸發(fā)鎖存器203a從多路復(fù)用器201a接收數(shù)據(jù)信號,那么邊緣觸發(fā)鎖存器203a的輸出將為數(shù)據(jù)型,并且在掃描線204上進(jìn)入到內(nèi)部邏輯(未示出)或作為I/O管腳(未示出)的輸出。在一些應(yīng)用中,信號線204和數(shù)據(jù)線205合并為一根線。來自鏈中最后的存儲元件(即,存儲元件203d)的掃描輸出是掃描出。圖3圖示具有邊界可掃描IC252的JTAG邊界可掃描系統(tǒng)250,該邊界可掃描IC252具有內(nèi)部邏輯258??偡Q為測試訪問端口(TAP)251的各邊界掃描控制信號為邊界可掃描IC252定義串行移位協(xié)議。這些信號的第一個,TCK(測試時鐘)同步內(nèi)部狀態(tài)機(jī)才喿作。第二信號,TMS(測試模式選擇)在TCK的上升沿被采樣以確定下一個狀態(tài)。第三信號,TDI(測試數(shù)據(jù)入)在TCK的上升沿被采樣,并且當(dāng)內(nèi)部狀態(tài)機(jī)處于正確態(tài)時被移入器件的測試或編程邏輯。第四信號,TDO(測試數(shù)據(jù)出)表示移出器件測試或編程邏輯的數(shù)據(jù),并且當(dāng)內(nèi)部狀態(tài)機(jī)處于正確態(tài)時在TCK的下降沿有效。最后,TRST/重置(可選的)信號,當(dāng)其驅(qū)動為低時重置內(nèi)部狀態(tài)機(jī)。除了TAP251以夕卜,邊界可掃描IC252還包括以下器件TAP控制器253、指令寄存器254、至少一個可掃描測試數(shù)據(jù)寄存器255、以及多個存儲元件301a-301f。TCK、TMS和TRST輸入管腳驅(qū)動16態(tài)TAP控制器253。例如,TAP控制器253管理掃描型數(shù)據(jù)從存儲元件301b到隨后的存儲元件301c的交換,以及正常操作數(shù)據(jù)穿過存儲元件301b進(jìn)入內(nèi)部邏輯258,其中輸入從I/O管腳257b接收,并且最終穿過存儲元件301e結(jié)果成為I/O管腳257e的輸出。注意到存儲元件301—般地描繪為存儲元件并且能夠使用各種存儲元件配置,如存儲元件101和存儲元件102的配置。在每個TCK的上升沿,基于TMS信號的值TAP控制器253前進(jìn)到新的狀態(tài)。使用合適的布線,能夠同時測試多個邊界可掃描IC252。在正常操作中,指令寄存器254從TAP控制器253(即,通過TDI)接收指令,將其解碼,并且根據(jù)TAP控制器253的狀態(tài)選擇合適的測試數(shù)據(jù)寄存器255。指令寄存器254用于為一個或更多測試數(shù)據(jù)寄存器255設(shè)置操作模式,并由TAP251控制,并且能夠被放置在用于加載和卸載移位數(shù)據(jù)的TDI和TDO之間。除測試數(shù)據(jù)寄存器255之外,能夠利用許多其它寄存器,包括旁路寄存器(未示出)、器件身份寄存器(未示出)、以及多個用戶定義的寄存器(未示出)。特定的寄存器操作由來自指令寄存器254的指令控制。多個存儲元件301a-301f放置鄰近每個I/O管腳257a-257f,允許串行數(shù)據(jù)進(jìn)出邊界可掃描IC252。存儲元件301a-301f允許測試者使用掃描測試原理控制和觀察邊界可掃描IC252。圖4描繪具體實(shí)施例方式LSSD鏈300,其中訪問鏈的受保護(hù)部分305和/或從其退出由控制器件304和310控制。注意到為了簡化,圖l中所示的用于將數(shù)據(jù)從I/0管腳的輸入移位穿過存儲元件101、穿過內(nèi)部邏輯、以及最終穿過I/0管腳(數(shù)據(jù)入、TCK、1/0管腳、內(nèi)部邏輯等)作為輸出的元件,沒有在圖4中顯示。受保護(hù)部分305是具有一個或更多存儲元件101k和1011的掃描鏈的部分,其中第一控制器件304就放置在受保護(hù)部分305之前,并且第二控制器件310就放置于受保護(hù)部分305之后。控制器件304和310是當(dāng)接收阻止信號時能夠阻止訪問LSSD掃描鏈300的受保護(hù)部分305和/或從其退出、并且是當(dāng)接收訪問信號時允許訪問LSSD掃描鏈300的受保護(hù)部分305和/或從其退出的器件。如圖3中所示,控制器件304和310是多路復(fù)用器。然而控制器件304和310能夠是任何在收到激勵時能夠改變輸出邏輯值的器件。訪問控制機(jī)制311為控制器件304和310提供阻止或訪問信號。依賴于用作控制器件304和310的器件的類型,訪問控制機(jī)制311能夠提供不同于阻止或訪問信號的信號。生成阻止、訪問或其它信號并選擇性地提供給控制器件304和310。如圖4中所示,訪問控制機(jī)制311是TAP控制器,然而訪問控制機(jī)制311能夠是能夠?yàn)橐粋€或更多控制器件304和310選擇性地提供一個或更多信號類型(即,阻止信號、訪問信號、編程信號等)的其它機(jī)制。作為代表性的實(shí)施例,當(dāng)控制器件304和310正從訪問控制機(jī)制311接收阻止信號時,控制器件304和310選擇0輸入以傳遞到隨后的存儲元件101。例如,當(dāng)控制器件正從訪問控制機(jī)制311接收低(0)信號時,不選擇來自存儲元件101j的輸出來由控制器件304傳遞到存儲元件101k。而是,控制器件304選擇空/接地信號(該信號對應(yīng)于控制器件304輸入0)。該空/接地掃描信號通過存儲元件101k和1011被移位,從而創(chuàng)建受保護(hù)部分305??刂破骷?10也從訪問控制機(jī)制311接收低(0)信號。不選擇之前通過存儲元件101k和1011移位的空/接地信號來由控制器件310傳到存儲元件101m。而是,選擇退出存儲元件101j的值作為到存儲元件101m的輸入(該信號對應(yīng)于控制器件310輸入0)。當(dāng)控制器件304和310正從訪問控制機(jī)制311接收訪問信號時,控制器件304和310選擇1輸入以傳遞到隨后的存儲元件101。例如,選擇來自存儲元件101j的輸出以由控制器件304傳遞到存儲元件101k(該信號對應(yīng)于輸入l)。然后該掃描值通過存儲元件101k和IOIH皮移位。控制器件310也從訪問控制機(jī)制311接收高(1)信號。選擇之前通過存儲元件101k和1021被移位的值,以由控制器件310傳遞到存儲元件101m(該信號對應(yīng)于輸入1)。因此依賴于控制器件304和310從訪問控制機(jī)制311接收什么信號,控制器件304和310選擇不同的信號以傳遞到隨后的存儲元件。(即,來自訪問控制311的低(0)信號導(dǎo)致控制器件304和310傳送空/接地信號,并且來自訪問控制機(jī)制311的高(1)信號導(dǎo)致控制器件304和310傳遞在前的存儲元件101中的值)。從訪問控制機(jī)制311發(fā)送的低(0)信號導(dǎo)致掃描鏈305的受保護(hù)部分在掃描測試中被旁路。從訪問控制機(jī)制311發(fā)送的高(1)信號導(dǎo)致掃描鏈305的受保護(hù)部分包括在掃描測試中。如圖4中所示的代表性實(shí)施例,訪問控制機(jī)制311被描繪為TAP控制器,其中TAP控制器為LSSD掃描設(shè)計中的控制器件304和310提供訪問或阻止信號。盡管傳統(tǒng)上在LSSD掃描設(shè)計中沒有利用TAP控制器,但是將TAP控制器包括到LSSD掃描設(shè)計中、以利用TAP控制器的功能的方法和裝置在本領(lǐng)域是公知的。在Amini等人的美國專利5497378"用于通過不同的邊界掃描結(jié)構(gòu)測試具有可測試元件的電^各網(wǎng)絡(luò)的系統(tǒng)和方法(SystemandMethodforTestingaCircuitNetworkHavingElementsTestablebyDifferentBoundaryScanStructures)"中,描述了一種這樣的方法和裝置,在此通過引用并入。因?yàn)閷AP控制器添加到各種掃描鏈設(shè)計在本領(lǐng)域是公知的,所以代表性實(shí)施例的范圍不應(yīng)被限于J「AG邊界掃描鏈設(shè)計,而是應(yīng)該也包括其它掃描設(shè)計(LSSD、RAS、GSD等)。當(dāng)接收位于例程313中的指令314時,訪問控制機(jī)制311選擇性地生成和提供訪問、阻止或其它信號到控制器件304和310。例程313是能夠保持和/或處理由訪問控制機(jī)制311調(diào)用的系列指令314的硬件或軟件處理器。指令314用以控制訪問控制機(jī)制311傳遞什么信號到控制器件304和310。為了提供訪問控制機(jī)制311選擇性地訪問功能,例程313被選擇性地調(diào)用。當(dāng)邊界可掃描器件的授權(quán)用戶識別例程特征315時,例程313被調(diào)用。實(shí)質(zhì)上例程特征315是允許信號訪問受保護(hù)部分305或從其退出的"密碼"。授權(quán)用戶定義為被可掃描器件的制造者授權(quán)以訪問包括在可掃描器件中的信息、和或被授權(quán)以執(zhí)行掃描測試(JTAG、LSSD等)的個人、公司、企業(yè)等。當(dāng)授權(quán)用戶期望執(zhí)行包括受保護(hù)部分305的掃描測試時,他們必須識別例程特征315。例程特征315可以是具有對應(yīng)的定位代碼的例程313定位。然而例程特征315能夠利用例程313的任何特征,其中授權(quán)用戶能夠通過特定的例程315特征識別例程313。作為代表性實(shí)施例,其中例程特征315是具有對應(yīng)的定位代碼的例程313定位,為了在執(zhí)行掃描測試時允許訪問受保護(hù)部分305和/或從其退出,授權(quán)用戶必須將正確的定位代碼315輸入到訪問控制機(jī)制311中。配置訪問控制機(jī)制311,來識別和接收例程特征315或?qū)С龃a或?qū)?yīng)于例程特征315的信號。一旦接收了例程特征315或?qū)С龃a或?qū)?yīng)于例程特征315的信號,訪問控制機(jī)制311就通過調(diào)用例程313處理例程特征315或?qū)С龃a或?qū)?yīng)于例程特征315的信號。如果例程特征315或?qū)С龃a或?qū)?yīng)于例程特征315的信號沒有被訪問控制機(jī)制311識別,那么訪問控制機(jī)制311將不調(diào)用例程313。當(dāng)例程313被調(diào)用時,訪問控制機(jī)制311接收指令314,并且最終為控制器件304和310提供阻止或訪問信號。現(xiàn)在描述如圖4中所示的本發(fā)明的代表性實(shí)現(xiàn)。制造者測試包括LSSD鏈300的可測試器件,并且成功的LSSD測試時設(shè)置可掃描器件的操作狀態(tài)為阻止態(tài)。如上所述的阻止(低(O))態(tài),迫使控制器件304和310阻止訪問LSSD掃描鏈300的受保護(hù)部分305和/或從其退出。制造者將該器件出售給授權(quán)或未授權(quán)用戶。如果客戶是授權(quán)用戶,那么制造者使特定的例程特征對授權(quán)用戶可用。因?yàn)樵诂F(xiàn)今的市場上,授權(quán)用戶然后能夠?qū)⑵骷鍪劢o第的分布式系統(tǒng)對于制造者是有益的。以這種方式授權(quán)用戶能夠要求制造者永不使特定例程特征315可用。如果授權(quán)用戶知道在將來環(huán)境下不應(yīng)存在完全可掃描的(沒有受保護(hù)部分305)LSSD鏈300,那么這將是有益的。如果客戶是非授權(quán)用戶,那么制造者將不會使特定例程特征315對未授權(quán)用戶可用。這創(chuàng)建具有受保護(hù)部分305的LSSD鏈300,其中未授權(quán)用戶能夠執(zhí)行部分掃描。如果授權(quán)用戶期望執(zhí)行LSSD鏈300的完全掃描,其中允許訪問受保護(hù)部分305和/或從其退出,那么授權(quán)用戶必須將可掃描器件的狀態(tài)從阻止(低(O))態(tài)改變?yōu)樵L問(高(l))態(tài)。以這種方式,授權(quán)用戶將例程定位或?qū)?yīng)于例程定位的代碼(即例程特征315)或?qū)С龃a或?qū)?yīng)于例程特征315的信號,作為TDI輸入TAP控制器。位于TAP控制器中的指令寄存器312通過TDI接收例程定位或?qū)?yīng)于例程定位的代碼,將其解碼,并且調(diào)用例程313。當(dāng)接收指令314(即訪問指令)時,TAP控制器發(fā)送訪問(高(1))信號到控制器件304和310。圖5描繪了本發(fā)明的另一個實(shí)施例GSD掃描鏈400,其中訪問鏈的受保護(hù)部分404和/或從其退出由控制器件304和310控制。注意到為了簡化,用于將來自1/0管腳的輸入的數(shù)據(jù)移位穿過存儲元件101、穿過內(nèi)部邏輯、以及最終穿過I/O管腳(數(shù)據(jù)出、I/O管腳等)作為輸出的各元件沒有在圖5中顯示。與圖2相比,也簡化了邊緣觸發(fā)鎖存器203的圖。當(dāng)控制器件304和310從訪問控制機(jī)制311接收阻止信號時,控制器件304和310選擇對應(yīng)于輸入0的信號以傳到隨后的存儲元件。例如,當(dāng)訪問控制機(jī)制311發(fā)送阻止(低(O))信號到控制器件304時,不選擇來自存儲元件201f的輸出來傳到存儲元件201g。而是,控制器件304選擇空/接地掃描值來傳到存儲元件201g。該空/接地掃描值移位通過存儲元件201g、201h、202i和201j,從而創(chuàng)建受保護(hù)部分404??刂破骷?10也從訪問控制機(jī)制311接收低(0)信號。不選擇之前移位通過存儲元件201g、201h、202i和201j的空/接地信號來由控制器件310傳到存儲元件201k。而是,由控制器件310選擇退出存儲元件201f的值來傳到存儲元件201k。當(dāng)訪問控制機(jī)制311將訪問(高(1))信號發(fā)送到控制器件304時,選擇來自存儲元件201f的輸出來傳到存儲元件201g。然后該值被移位通過存儲元件201g、201h、202i和201j??刂破骷?10也從訪問控制機(jī)制311接收高(l)信號。選擇之前通過存儲元件201g、201h、202i和201j移位的值來由控制器件310傳到存儲元件201k。因此依賴于控制器件304和310從訪問控制機(jī)制311接收什么信號,控制器件304和310選擇不同的信號來傳到隨后的存儲元件。(即,來自訪問控制機(jī)制311的低(0)信號導(dǎo)致控制器件304和310傳送空/接地信號,并且來自訪問控制機(jī)制311的高(1)信號導(dǎo)致控制器件304和310傳送在前的存儲元件201中的值)。來自訪問控制機(jī)制311的低(0)信號導(dǎo)致掃描鏈305的受保護(hù)部分在掃描測試中被旁路。來自訪問控制機(jī)制311的高(1)信號導(dǎo)致掃描鏈305的受保護(hù)部分被包括在掃描測試中。在本發(fā)明實(shí)施例中增加了鎖存器412。鎖存器412是設(shè)置或重置主導(dǎo)鎖存器,使得當(dāng)訪問控制機(jī)制311停止為控制器件304和310提供訪問信號時(即,在系統(tǒng)功率下降時),鎖存器412發(fā)送低(0)信號到控制器件304和310,其中訪問受保護(hù)部分404和/或從其退出被阻止。在這種方式下,控制器件304和310的默認(rèn)狀態(tài)是低(0),其中信號訪問受保護(hù)部分404和/或從其退出被禁止。增加鎖存器412以確保信號訪問受保護(hù)部分404和/或從其退出被禁止的默認(rèn)操作模式是有利的。圖6描繪了本發(fā)明的另一個實(shí)施例GSD掃描鏈500,其中訪問控制機(jī)制515顯示為電熔絲(eFUSE)。電熔絲通常包括可編程元件,其在具有掃描鏈500的器件的制造和測試過程完成后被編程。電熔絲被編程為完整的(intact)或熔斷的(blown),其中完整的電熔絲在正常器件操作參數(shù)下是導(dǎo)電的,而其中熔斷的電熔絲在正常器件操作參數(shù)下通常為非傳導(dǎo)。電熔絲的傳導(dǎo)或完整態(tài)可以用來生成高(1)信號以提供給控制器件304和310,并且熔斷的或非傳導(dǎo)態(tài)可以用來生成低(0)信號以提供給控制器件304和310。例如,在制造和/或測試過程期間,可以從外部測試器件(未示出)接收熔斷電壓。當(dāng)控制器件304和310從訪問控制機(jī)制311接收低(0)信號時,控制器件304和310選擇對應(yīng)于輸入0的信號以傳到隨后的存儲元件。例如,當(dāng)訪問控制機(jī)制311發(fā)送低(0)信號到控制器件304時,不選擇來自存儲元件201f的輸出來傳到存儲元件201g。而是,控制器件304選擇空/接地掃描值來傳到存儲元件201g。該空/接地掃描值移位通過存儲元件201g、201h、202i和201j,從而創(chuàng)建受保護(hù)部分404。控制器件310也從訪問控制機(jī)制311接收低(O)信號。不選擇之前移位通過存儲元件201g、201h、202i和201j的空/接地信號來由控制器件310傳到存儲元件201k。而是,由控制器件310選擇退出存儲元件201f的值來傳到存儲元件201k。當(dāng)訪問控制機(jī)制311發(fā)送訪問(高(1))信號到控制器件304時,選擇來自存儲元件201f的輸出以傳到存儲元件201g。然后該值被移位通過存儲元件201g、201h、202i和201j??刂破骷?10也從訪問控制機(jī)制311接收高(1)信號。選擇之前通過存儲元件201g、201h、202i和201j移位的值,以由控制器件310傳到存儲元件201k。因此依賴于控制器件304和310從訪問控制機(jī)制311接收什么信號,控制器件304和310選擇不同的信號以傳到隨后的存儲元件。(即,來自訪問控制311的低(0)信號導(dǎo)致控制器件304和310傳送空/接地信號,并且來自訪問控制機(jī)制311的高(1)信號導(dǎo)致控制器件304和310傳送在前的存儲元件201中的值)。來自訪問控制機(jī)制311的低(0)信號導(dǎo)致掃描鏈305的受保護(hù)部分在掃描測試中被旁路。來自訪問控制機(jī)制311的高(1)信號導(dǎo)致掃描鏈305的受保護(hù)部分被包括在掃描測試中。注意到在本發(fā)明的實(shí)施例中,一旦電熔絲熔斷,電熔絲不能回復(fù)到熔斷前狀態(tài)。因此一旦電熔絲給控制器件304和310提供低(0)或阻止信號,控制器件304和310將永遠(yuǎn)選擇對應(yīng)于輸入0的值。如果期望有選擇性的,則提供了可替代的實(shí)施例,其中訪問控制機(jī)制515是多個電熔絲即電熔絲組。在這個實(shí)施例中,一個與多個電熔絲相關(guān)聯(lián)的電熔絲可以熔斷,導(dǎo)致訪問控制機(jī)制發(fā)送低(0)或阻止信號到控制器件304和310。如上所述,這導(dǎo)致阻止信號訪問受保護(hù)部分404和/或從其退出。如果然后期望允許信號訪問受保護(hù)部分404和/或從其退出,則另一個與多個電熔絲相關(guān)聯(lián)的、之前沒有熔斷的電熔絲能夠發(fā)送高(1)或訪問指令到控制器件304和310。這需要額外的邏輯來提供裝置以切換連接到控制器件304和310的電熔絲。額外的邏輯能夠提供從之前熔斷的電熔絲切換到非熔斷的電熔絲的裝置。一旦非熔斷電熔絲連接到控制器件304和310,非熔斷電熔絲就能夠發(fā)送高(l)或訪問信號到控制器件304和310。如上所述,由控制器件304和310接收的高(1)或訪問信號導(dǎo)致允許包括受保護(hù)部分404的完整掃描鏈500的掃描。一旦完成完整掃描,并且期望在隨后的掃描中再次阻止訪問受保護(hù)部分404,那么之前非熔斷的電熔絲可以被熔斷導(dǎo)致信號訪問和/或退出被禁止。本領(lǐng)域的技術(shù)人員將認(rèn)識到電熔絲可以最初處于非傳導(dǎo)態(tài)并且編程為非傳導(dǎo)(熔斷)態(tài),如上所述或可替代地,可以最初處于非傳導(dǎo)態(tài)并且編程為傳導(dǎo)態(tài)(后面的電熔絲通常稱為反熔絲(antifUse))。反熔絲通常包括兩個由絕緣體分開的導(dǎo)體。編程通過形成穿過絕緣體的傳導(dǎo)路線并且改變對傳導(dǎo)元件的開口完成。圖7描繪了本發(fā)明的另一個實(shí)施例掃描鏈600,其中訪問鏈的受保護(hù)部分305和/或從其退出由控制器件304和310控制,其中訪問控制機(jī)制311編程(各)電熔絲605,其中(各)電熔絲605依次提供低(0)信號給控制器件304和310。本實(shí)施例的優(yōu)點(diǎn)在于能夠與訪問控制機(jī)制311(即,TAP控制器)一起控制熔斷(各)電熔絲605。在本實(shí)施例中,指令314是編程指令(即,熔斷指令),其為訪問控制機(jī)制311提供指令以發(fā)送編程信號到(各)電熔絲605。當(dāng)用戶識別例程特征313時,訪問控制機(jī)制311調(diào)用例程315。然后訪問控制機(jī)制311為電熔絲605提供熔斷信號。注意,在本實(shí)施例中訪問控制機(jī)制不發(fā)送阻止或訪問信號到控制器件304和310,而是訪問控制機(jī)制發(fā)送熔斷信號到(各)電熔絲605。當(dāng)(各)電熔絲從訪問控制機(jī)制311接收熔斷信號時,(各)電熔絲熔斷,從而創(chuàng)建非傳導(dǎo)態(tài)。該非傳導(dǎo)態(tài)用來生成提供給控制器件304和310的低(0)或阻止信號??梢园娙劢z電壓源(未示出),以通過施加熔斷電壓到電熔絲605提供用于各電熔絲的熔斷。如上所述,提供給控制器件304和310的低(0)信號導(dǎo)致掃描鏈600的受保護(hù)部分305在掃描測試中被旁路。當(dāng)控制器件304和310從(各)電熔絲605接收低(0)信號時,控制器件304和310選擇對應(yīng)于輸入0的信號以傳到隨后的存儲元件。例如,當(dāng)訪問控制機(jī)制311發(fā)送低(0)或阻止信號到控制器件304時,不選擇來自存儲元件101j的輸出來傳到存儲元件101k。而是,控制器件304選擇空/接地掃描值來傳到存儲元件101k。該空/接地掃描值移位通過存儲元件101k和1011,從而創(chuàng)建受保護(hù)部分305??刂破骷?10也從訪問控制機(jī)制311接收低(0)信號。不選擇之前移位通過存儲元件101k和1011的空/接地掃描值來由控制器件310傳到存儲元件101m。而是,由控制器件310選擇退出存儲元件101j的值來傳到存儲元件101m。因此來自(各)電熔絲605的低(0)信號導(dǎo)致掃描鏈的受保護(hù)部分305在掃描測試中被旁路。注意到在選擇性地提供的可替代的實(shí)施例中,其中(各)電熔絲605是多個電熔絲即電熔絲組。在這個實(shí)施例中,(各)電熔絲605中的一個特定熔絲可以被熔斷,導(dǎo)致(各)電熔絲605發(fā)送低(0)或阻止信號到控制器件304和310。如上所述,這導(dǎo)致阻止信號訪問受保護(hù)的部分305和/或A^其退出。如果然后期望允許信號訪問受保護(hù)部分305和/或從其退出,則(各)電熔絲605中的、之前沒有熔斷的另一個電熔絲能夠發(fā)送高(1)或訪問指令到控制器件304和310。訪問控制機(jī)制311提供額外邏輯來將連接到控制器件304和310的、(各)電熔絲605中的之前熔斷的熔絲,切換為(各)電熔絲605中的非熔斷的電熔絲。指令606(即改變電熔絲指令)給訪問控制機(jī)制311提供用于將之前熔斷的電熔絲切換到非熔斷的電熔絲的指令。類似于指令314,指令606能夠被訪問控制機(jī)制調(diào)用。一旦非熔斷的電熔絲連接到控制器件304和310,非熔斷的電熔絲就發(fā)送高(1)或訪問信號到控制器件304和310。如上所述,由控制器件304和310接收的高(1)或訪問信號,導(dǎo)致允許包括受保護(hù)部分305的完整掃描鏈600的掃描。一旦完成完整掃描、并且在接下來的掃描中期望在此阻止訪問受包含保護(hù)的部分305,之前非熔斷的電熔絲就可以被熔斷,導(dǎo)致信號訪問和/或退出被禁止。圖8描繪了具體實(shí)施例方式掃描鏈1000,其中訪問控制機(jī)制1005顯示為專用集成電路(ASIC)。在訪問控制機(jī)制1005中存在電可擦除可編程只讀存儲器(EEPROM)1006。在包括掃描鏈1000的可掃描器件制造期間,制造者用n位訪問代碼和n位阻止代碼編程EEPROM1006??刂茩C(jī)制1005中還包括比較功能1007。比較功能1007從包括掃描鏈1000的可掃描器件的用戶接收數(shù)據(jù)。訪問控制機(jī)制1005為控制器件304和310提供阻止或訪問信號。生成阻止、訪問或其它信號并選擇性地提供給控制器件304和310。例如,通過將阻止代碼作為數(shù)據(jù)入輸入到比較功能1007中,由訪問控制機(jī)制1005生成了阻止信號。然后比較功能1007將輸入的阻止代碼與制造者編程到EEPROM1006中的n位阻止代碼比較。如果阻止代碼匹配,那么訪問控制機(jī)制1005為控制器件304和310提供低(0)或阻止信號。可替代地,通過將訪問代碼作為數(shù)據(jù)入輸入到比較功能1007,由訪問控制機(jī)制1005生成訪問信號。然后比較功能1007將輸入的訪問代碼與制造者編程到EEPROM1006中的n位訪問代碼比較。如果訪問代碼匹配,那么訪問控制機(jī)制1005為控制器件304和310提供高(1)或訪問信號。當(dāng)控制器件304和310從訪問控制機(jī)制1005接收低(0)信號時,控制器件304和310選擇對應(yīng)于輸入0的信號以傳到隨后的存儲元件。例如,當(dāng)訪問控制機(jī)制1005發(fā)送低(0)或阻止信號到控制器件304時,不選擇來自存儲元件101j的輸出來傳到存儲元件101k。而是,控制器件304選擇空/接地掃描值來傳到存儲元件101k。該空/接地掃描值移位通過存儲元件101k和1011,從而創(chuàng)建受保護(hù)部分305??刂破骷?10也從訪問控制機(jī)制311接收低(0)信號。不選擇之前移位通過存儲元件101k和1011的空/接地掃描值來由控制器件310傳到存儲元件101m。而是,由控制器件310選擇退出存儲元件101j的值來傳到存儲元件101m。當(dāng)控制器件304和310從訪問控制機(jī)制1005接收高(1)或訪問信號時,控制器件304和310選擇1輸入來傳到存儲元件101k。然后這個掃描值移位通過存儲元件101k和1011??刂破骷?10也從訪問控制機(jī)制311接收高(1)信號。選擇之前移位通過存儲元件101k和1021的值來由控制器件310傳到存儲元件101m。因此依賴于控制器件304和310從訪問控制機(jī)制1005接收什么信號,控制器件304和310選擇不同的信號以傳到隨后的存儲元件。從訪問控制機(jī)制1005發(fā)送的低(0)信號導(dǎo)致掃描鏈305的受保護(hù)部分在掃描測試中被旁路。從訪問控制機(jī)制1005發(fā)送的高(1)信號導(dǎo)致掃描鏈的受保護(hù)部分305被包括在掃描測試中。圖9A、9B和9C是本發(fā)明的各種示例性實(shí)施例的流程圖,它們按照為了允許信號訪問掃描鏈的受保護(hù)部分和/或從其退出的順序跟隨。方法700從開始塊701開始。如方法塊702中所示的下一個步驟,要用第一控制器件正好在掃描鏈的受保護(hù)部分之前阻止信號訪問。類似地如方法塊703中所示的下一個步驟,要用第二控制器件正好在部分掃描鏈之后阻止信號訪問。在判定塊704中描述的下一個步驟,要決定是否允許訪問受保護(hù)部分和/或從其退出。如果"是",那么允許訪問掃描鏈的受保護(hù)部分和/或從其退出。如果"否",那么方法700在開始塊701處再次開始。如方法塊705中所示,為了允許訪問掃描鏈的受保護(hù)部分和/或從其退出,跟隨如圖9B中所示的方法705。方法705在開始塊706處開始。如方法塊707中所示的下一個方法步驟,要決定訪問控制機(jī)制是否正接收訪問指令。如果"是",那么訪問控制機(jī)制發(fā)送訪問信號到一個或更多控制器件,如方法塊708中所述。如果"否",那么方法705在開始塊706處再次開始。如方法塊709中所示,下一個方法步驟是控制器件以允許訪問掃描鏈的受保護(hù)部分。方法705以結(jié)束塊715結(jié)束。如圖9B中的方法塊707中所示,為了確定是否訪問控制機(jī)制正接收訪問指令,跟隨了如圖9C中所示的方法707。方法707從開始塊710開始。如方法711中所示的下一個步驟要為訪問控制機(jī)制接收例程特征。接下來在判定塊712中確定是否例程特征是正確的。如果"否",那么方法707從開始塊710再次開始。如果"是",那么然后訪問控制機(jī)制發(fā)現(xiàn)并調(diào)用例程,分別地如方法塊713和714中所示。如方法塊715中所示,當(dāng)訪問控制機(jī)制接收訪問指令時,方法707完成。圖IOA和IOB是本發(fā)明各種示例性實(shí)施例的流程圖,其描述阻止訪問掃描鏈的受保護(hù)部分和/或從其退出的方法。如方法塊805中所示,為了阻止訪問掃描鏈的受保護(hù)部分和/或從其退出,跟隨圖10A中所示的方法805。方法805從開始塊806開始。如方法塊807中所示,下一個方法步驟要決定訪問控制機(jī)制是否正接收訪問指令。如果"是",那么如方法塊808中所述的訪問控制機(jī)制發(fā)送訪問信號到一個或更多控制器件。如果"否",那么方法805在開始塊806處再次開始。如方法塊809中所示,下一個方法步驟為控制器件允許訪問掃描鏈的受保護(hù)部分。方法805以結(jié)束塊815結(jié)束。如圖10B中方法塊807中所示,為了確定訪問控制機(jī)制是否正接收訪問指令,跟隨圖10B中的方法807。方法807從開始塊810開始。如方法塊811中所示的下一個步驟要為訪問控制機(jī)制接收例程特征。在判定塊812中確定是否例程特征是正確的。如果"否",那么方法807在開始塊810處再次開始。如果"是",那么訪問控制機(jī)制發(fā)現(xiàn)并調(diào)用例程,分別地如方法塊813和814中所示。如方法塊815中所示,當(dāng)訪問控制機(jī)制接收訪問指令時,方法807咒成》盡管前述貫注于本發(fā)明的各實(shí)施例,但是可以設(shè)計本發(fā)明其它和另外的實(shí)施例而不背離其基本范圍,并且其范圍由權(quán)利要求確定。權(quán)利要求1.一種用于提供受控的訪問可掃描器件中的部分掃描鏈和/或從其退出的裝置,該裝置包括置于要控制的掃描鏈部分之前的掃描鏈中的第一控制器件;置于要緊接控制的掃描鏈部分之后的掃描鏈中的第二控制器件,以及;耦合到第一控制器件和第二控制器件的訪問控制機(jī)制,其中訪問控制機(jī)制控制訪問在第一控制器件和第二控制器件之間的部分掃描鏈和/或從其退出。2.如權(quán)利要求1所述的、用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的裝置,還包括包括訪問指令的例程,該訪問指令耦合到訪問控制機(jī)制,其中當(dāng)調(diào)用例程時,該訪問指令指導(dǎo)訪問控制機(jī)制以允許訪問邊界掃描的部分和/或從其退出。3.如權(quán)利要求2所述的、用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的裝置,其中通過識別正確的例程特征,由授權(quán)用戶選擇性地調(diào)用例程。4.如權(quán)利要求3所述的、用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的裝置,其中耦合到第一和第二控制器件的訪問控制機(jī)制是測試訪問端口TAP控制器。5.如權(quán)利要求4所述的、用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的裝置,其中具有包括在內(nèi)的指令寄存器的TAP控制器,接受例程定位信號并且將例程定位信號傳到指令寄存器,其中指令寄存器定位并調(diào)用例程。6.如權(quán)利要求1所述的、用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的裝置,其中第一和第二控制器件是多路復(fù)用器,并且耦合到第二和第二控制器件的訪問控制機(jī)制是電熔絲。7.如權(quán)利要求1所述的、用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的裝置,其中第一和第二控制器件是電熔絲,并且耦合到第二和第二控制器件的訪問控制機(jī)制是測試訪問端口TAP控制器。8.如權(quán)利要求7所述的、用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的裝置,還包括包括觸發(fā)指令的例程,該觸發(fā)指令耦合到TAP控制器,當(dāng)例程被調(diào)用時,該觸發(fā)指令指導(dǎo)訪問控制機(jī)制以觸發(fā)在邊界掃描的部分的每個末端處的各電熔絲;其中包括指令寄存器的TAP控制器,接受例程定位信號并且將例程定位信號傳到指令寄存器,其中指令寄存器定位并調(diào)用例程。9.一種用于提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,該方法包括以下步驟正好在要控制的掃描鏈部分之前阻止邊界掃描信號訪問;正好在要控制的掃描鏈部分之后阻止邊界掃描信號訪問;允許選擇性的訪問要控制的掃描鏈部分和/或從其退出。10.如權(quán)利要求9所述的、提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,其中允許選擇性的訪問要控制的掃描鏈部分和/或從其退出還包括以下步驟從例程接收訪問指令,其中當(dāng)例程被調(diào)用時,該訪問指令允許訪問邊界掃描的部分和/或從其退出。11.如權(quán)利要求10所述的、提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,其中從例程接收訪問指令由測試訪問端口TAP控制器完成。12.如權(quán)利要求11所述的、提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,其中例程是隱藏的。13.如權(quán)利要求12所述的、提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,其中允許選擇性的訪問要受控的掃描鏈部分和/或從其退出還包括以下步驟將例程定位信號接收到TAP控制器中,該TAP控制器具有包括在內(nèi)的指令寄存器;以及將例程定位信號傳送到指令寄存器,其中指令寄存器定位并調(diào)用例程。14.如權(quán)利要求9所述的、提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,其中正好在要控制的掃描鏈部分之前和之后阻止邊界掃描信號訪問由多路復(fù)用器完成,并且允許選擇性的訪問要控制的部分掃描鏈和/或從其退出由電熔絲完成。15.如權(quán)利要求9所述的、提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,其中正好在要控制的掃描鏈部分之前和之后阻止邊界掃描信號訪問由電熔絲電路完成,并且允許選擇性的訪問要控制的部分掃描鏈和/或從其退出由測試訪問端口TAP控制器完成。16.如權(quán)利要求15所述的、提供受控的訪問可掃描器件中部分掃描鏈和/或從其退出的方法,其中允許選擇性的訪問要控制的部分掃描鏈和/或從其退出由TAP控制器完成,包括以下步驟接收包括觸發(fā)指令的例程定位信號,將例程定位信號傳送到耦合到并包括在TAP控制器中的指令寄存器,其中指令寄存器定位并調(diào)用例程;觸發(fā)電熔絲電路不允許訪問要控制的邊界部分鏈。17.—種用于使得計算機(jī)能夠控制訪問可掃描器件中的部分掃描鏈和/或從其退出的計算機(jī)可讀的程序,該計算機(jī)可讀的程序存儲在計算機(jī)可讀的介質(zhì)上,并且被配置來執(zhí)行方法9到16的任何一個的各步驟。全文摘要本發(fā)明提供了一種用于提供受控的訪問部分掃描鏈和/或從其退出的方法、裝置和程序產(chǎn)品。該方法、裝置和程序產(chǎn)品利用置于掃描鏈中要控制的掃描鏈部分之前的第一控制器件、置于掃描鏈中要控制的掃描鏈部分之后的第二控制器件、以及耦合到第一控制器件和第二控制器件的訪問控制機(jī)制,其中訪問控制機(jī)制控制訪問在第一控制器件和第二控制器件之間的部分掃描鏈和/或從其退出。當(dāng)從例程接收指令時,訪問控制機(jī)制選擇性地允許訪問受保護(hù)部分和/或從其退出,其中例程只對授權(quán)用戶可訪問。文檔編號G01R31/28GK101169467SQ200710166880公開日2008年4月30日申請日期2007年10月23日優(yōu)先權(quán)日2006年10月26日發(fā)明者約翰·埃利奧特申請人:國際商業(yè)機(jī)器公司
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評論。精彩留言會獲得點(diǎn)贊!
1