日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

基于VPX平臺的雷達(dá)信號處理系統(tǒng)及應(yīng)用軟件設(shè)計方法與流程

文檔序號:11249691閱讀:2040來源:國知局
基于VPX平臺的雷達(dá)信號處理系統(tǒng)及應(yīng)用軟件設(shè)計方法與流程

本發(fā)明屬于數(shù)字信號處理技術(shù)領(lǐng)域,具體為基于vpx平臺的雷達(dá)信號處理系統(tǒng)及應(yīng)用軟件設(shè)計方法。



背景技術(shù):

傳統(tǒng)的雷達(dá)信號處理系統(tǒng)一般是根據(jù)特定的任務(wù)需求選擇合適的硬件架構(gòu),定制化設(shè)計出專用的信號處理板以完成雷達(dá)信號處理工作。由于信號處理的任務(wù)不同,因此設(shè)計出的雷達(dá)信號處理系統(tǒng)差異較大且不能相互兼容,影響了系統(tǒng)的通用性和其擴(kuò)展能力。當(dāng)信號處理的應(yīng)用環(huán)境、實時性要求、系統(tǒng)規(guī)模、應(yīng)用算法等發(fā)生變化時,整個系統(tǒng)都需要重新設(shè)計且設(shè)計和驗證周期較長,不能滿足目前雷達(dá)技術(shù)應(yīng)用日益復(fù)雜多樣和系統(tǒng)研制周期縮減的要求。

隨著精確制導(dǎo)飛行器的作戰(zhàn)任務(wù)需求和戰(zhàn)場環(huán)境不斷變化,雷達(dá)技術(shù)的應(yīng)用環(huán)境逐漸趨于復(fù)雜化且應(yīng)用模式日益多樣化。復(fù)雜多樣的使用環(huán)境和應(yīng)用模式需求要求雷達(dá)系統(tǒng)能夠靈活地配置資源、靈活地擴(kuò)展功能并適應(yīng)各種雷達(dá)應(yīng)用模式(包括主動雷達(dá)、被動雷達(dá)、主被動復(fù)合雷達(dá)、半主動雷達(dá)應(yīng)用),使雷達(dá)系統(tǒng)在多種應(yīng)用模式背景下功能實現(xiàn)一體化。這就對雷達(dá)信號處理系統(tǒng)的通用性和可擴(kuò)展性能力的要求越來越高,需求也越來越迫切。

目前高速實時信號處理系統(tǒng)一般采用dsp+fpga架構(gòu)。dsp負(fù)責(zé)完成系統(tǒng)通信和復(fù)雜應(yīng)用算法等;fpga負(fù)責(zé)完成高速通信接口控制、信號采集、數(shù)據(jù)存儲和信號前級預(yù)處理等。雷達(dá)信號處理系統(tǒng)是個較為復(fù)雜的數(shù)字信號處理系統(tǒng)且日益復(fù)雜的應(yīng)用需求要求處理系統(tǒng)具有大數(shù)據(jù)量的高速存取、高效傳輸和快速運算能力。

vpx是由vita組織制定的用以滿足惡劣環(huán)境下高可靠,高帶寬要求的下一代高級計算平臺標(biāo)準(zhǔn),模塊間定義了serialrapidio、pciexpress、fobrechannel、infiniband、hyper-transport、10gb以太網(wǎng)等高速串行總線,傳輸速率高達(dá)30gbps,并且具有超強的數(shù)據(jù)處理能力和高速數(shù)據(jù)傳輸能力,因此基于vpx架構(gòu)的通用加固計算機是未來航空航天領(lǐng)域數(shù)據(jù)處理系統(tǒng)的發(fā)展方向,適用于構(gòu)建通用性和可擴(kuò)展能力好的雷達(dá)信號處理系統(tǒng)平臺。



技術(shù)實現(xiàn)要素:

本發(fā)明的目的在于提供基于vpx平臺的雷達(dá)信號處理系統(tǒng)及應(yīng)用軟件設(shè)計方法,克服目前雷達(dá)信號處理系統(tǒng)通用性差和可擴(kuò)展能力不足的缺陷。

為了實現(xiàn)上述目的,本發(fā)明提供的基于vpx平臺的雷達(dá)信號處理系統(tǒng),運行于vpx處理機內(nèi),vpx處理機包括綜控板、接口板、電源板、背板及體系架構(gòu)總線,綜控板和接口板結(jié)合實現(xiàn)vpx處理機與控制系統(tǒng)、遙測系統(tǒng)和天線前端通信,完成指令收發(fā)和解析、數(shù)據(jù)下傳和總體流程控制,電源板為系統(tǒng)提供各類供電電源,背板完成各板卡組件的拓?fù)溥B接,其特征在于:所述系統(tǒng)包括,

混合通信板,集成多通道ad/da和virtex-7fpga處理器,用于調(diào)頻波形控制、信號采集及相應(yīng)的信號前級預(yù)處理工作,并進(jìn)行雷達(dá)工作時序控制;通過背板安裝于vpx處理機內(nèi);

通用信號處理板,集成多片多核dsp6678處理架構(gòu),用于實現(xiàn)高性能計算;通過背板安裝于vpx處理機內(nèi);

所述混合通信板和通用信號處理板內(nèi)包括含soc芯片的集成soc模塊組件,用于系統(tǒng)電源管控和系統(tǒng)管理;

所述系統(tǒng)還包括程序存儲器,所述程序存儲器劃分為多個分區(qū),對于不同的應(yīng)用需求設(shè)計出不同的應(yīng)用軟件放置在不同的分區(qū)內(nèi),通過對所述應(yīng)用軟件在線切換完成系統(tǒng)的重構(gòu)。

具體地,所述混合通信板,具體用于主/被動雷達(dá)模式下高速接口控制、信號采集,主動雷達(dá)模式下完成雷達(dá)工作時序控制、調(diào)頻波形控制、數(shù)字波束合成、數(shù)字下變頻、脈沖壓縮、agc增益控制和被動雷達(dá)模式下的脈沖參數(shù)測量與計算、pin衰減控制、掃頻控制;所述通用信號處理板,具體用于根據(jù)信號處理任務(wù)的需求進(jìn)行快速擴(kuò)展,多核協(xié)同工作實現(xiàn)主/被動雷達(dá)模式下的下雷達(dá)sar成像、測高、單脈沖測角、抗干擾和跟蹤識別工作模式下的運算處理和數(shù)據(jù)交互;所述集成soc模塊組件,具體用于實現(xiàn)硬件槽位識別,電源管理,pmbus指令通信,板卡基本信息存儲,電壓、電流和溫度檢測,復(fù)位加載。

優(yōu)選地,所述系統(tǒng)包括應(yīng)用軟件在線升級模塊,所述在線升級模塊包括硬件接口,所述硬件接口保證所述系統(tǒng)具備對系統(tǒng)內(nèi)板卡包括混合通信板和通用信號處理板的程序存儲器進(jìn)行在線燒寫的能力,并通過所述體系架構(gòu)總線在所述系統(tǒng)上一級系統(tǒng)提供統(tǒng)一的接口完成所述系統(tǒng)內(nèi)混合通信板和通用信號處理板的應(yīng)用軟件的在線升級,便于所述系統(tǒng)后續(xù)的應(yīng)用軟件維護(hù)。

優(yōu)選地,所述系統(tǒng)包括健康管理模塊,用于對所述系統(tǒng)壽命周期內(nèi)工作狀態(tài)進(jìn)行監(jiān)測并記錄監(jiān)測信息,據(jù)此監(jiān)測信息預(yù)測設(shè)備性能狀態(tài)的發(fā)展趨勢和可能發(fā)生的故障,便于在所述系統(tǒng)使用過程中能快速的發(fā)現(xiàn)異常問題、定位問題和解決問題。

優(yōu)選地,所述系統(tǒng)包括電源管控模塊,用于根據(jù)所述系統(tǒng)工作流程和功耗要求,對所述系統(tǒng)進(jìn)行電源管控。

基于如前所述的基于vpx平臺的雷達(dá)信號處理系統(tǒng)的應(yīng)用軟件設(shè)計方法,所述應(yīng)用軟件包含fpga應(yīng)用軟件和dsp應(yīng)用軟件,

在主動雷達(dá)模式下,雷達(dá)信號處理系統(tǒng)fpga應(yīng)用軟件的主控模塊根據(jù)收到的雷達(dá)工作模式參數(shù),控制發(fā)送脈沖壓縮處理后的數(shù)據(jù)給dsp應(yīng)用軟件進(jìn)行處理;線性調(diào)頻波形控制模塊根據(jù)收到的重頻、脈寬和帶寬參數(shù)計算并生成線性調(diào)頻波形信號輸出;雷達(dá)工作時序控制模塊根據(jù)接收到的重頻、脈寬、采樣寬度、波門前沿參數(shù)控制雷達(dá)發(fā)送與接收時序;

在被動雷達(dá)模式下,根據(jù)流程控制完成測頻、相位解算、幅度解算、脈沖到達(dá)時間解算、角度解算、pin衰減控制、掃頻控制等功能并將參數(shù)信息輸出給dsp應(yīng)用軟件完成目標(biāo)分選與跟蹤處理;

被動雷達(dá)模式和主動雷達(dá)模式的信號處理fpga應(yīng)用軟件可通過應(yīng)用軟件切換實現(xiàn)雷達(dá)信號處理系統(tǒng)在線重構(gòu);

dsp應(yīng)用軟件采用分層解耦的設(shè)計原則,通過一系列的標(biāo)準(zhǔn)、規(guī)范和協(xié)議的制定,使得應(yīng)用軟件結(jié)構(gòu)的系統(tǒng)層、應(yīng)用層、組件層和管理層不同層次的開發(fā)具有較強的獨立性,各層級可開展并行開發(fā)設(shè)計;應(yīng)用軟件系統(tǒng)層,實現(xiàn)不同類型雷達(dá)體制的作戰(zhàn)需求;應(yīng)用軟件應(yīng)用層,實現(xiàn)不同雷達(dá)體制應(yīng)用功能所需的各種工作方式的控制;應(yīng)用軟件組件層,實現(xiàn)各種工作方式下信息處理功能;應(yīng)用軟件管理層,實現(xiàn)硬件資源管理和系統(tǒng)通信管理;不同層級的技術(shù)可在技術(shù)規(guī)范和標(biāo)準(zhǔn)的指導(dǎo)下可動態(tài)更新;其中,應(yīng)用軟件管理層獨立于其他三個層級且和具體的硬件平臺緊密耦合,需要隨著硬件平臺進(jìn)行動態(tài)變化;系統(tǒng)層、應(yīng)用層和組件層和硬件平臺解耦,此三個層級的構(gòu)件按照制定的協(xié)議規(guī)范進(jìn)行標(biāo)準(zhǔn)化封裝設(shè)計,可快速實現(xiàn)跨平臺移植;層級之間通過逐級調(diào)用下一級層級的構(gòu)件組成應(yīng)用軟件處理系統(tǒng);根據(jù)應(yīng)用的特性進(jìn)行計算節(jié)點規(guī)劃和分配,多dsp多核并行協(xié)作運算,滿足算法實時性需求;

被動雷達(dá)模式和主動雷達(dá)模式的dsp應(yīng)用軟件均可通過應(yīng)用軟件切換實現(xiàn)系統(tǒng)在線重構(gòu)。

具體地,在主動雷達(dá)模式下,所述雷達(dá)信號處理系統(tǒng)fpga應(yīng)用軟件還包括數(shù)字下變頻模塊、數(shù)字波束合成模塊、脈沖壓縮模塊,所述各模塊根據(jù)收到的通道權(quán)重因子、采樣點數(shù)、抽取參數(shù)、濾波器系數(shù)和應(yīng)用軟件內(nèi)部輸入的本振等參數(shù)進(jìn)行相應(yīng)處理,完成雷達(dá)中頻信號前級預(yù)處理;

通道權(quán)重因子可由抗干擾算法根據(jù)干擾方向計算分配權(quán)重;

應(yīng)用軟件模塊進(jìn)行標(biāo)準(zhǔn)化和通用化設(shè)計,根據(jù)上位系統(tǒng)輸入的雷達(dá)參數(shù)動態(tài)調(diào)整滿足雷達(dá)sar成像、測高、抗干擾、單脈沖測角的主/被動雷達(dá)模式的前級信號預(yù)處理需求。

優(yōu)選地,系統(tǒng)將切換后的fpga應(yīng)用軟件和dsp應(yīng)用軟件版本信息存儲起來保證信息掉電不丟失從而使系統(tǒng)具有掉電記憶能力。

本發(fā)明利用基于vpx架構(gòu)的通用加固計算機來構(gòu)建通用性和可擴(kuò)展能力好的雷達(dá)信號處理系統(tǒng),運行于vpx處理機內(nèi),包括混合通信板和通用信號處理板,混合通信板集成多通道ad/da和virtex-7fpga處理器,用于調(diào)頻波形控制、信號采集及相應(yīng)的信號前級預(yù)處理工作,并進(jìn)行雷達(dá)工作時序控制;通用信號處理板,集成多片多核dsp6678處理架構(gòu),用于實現(xiàn)高性能計算,即采用由多處理器構(gòu)成的并行系統(tǒng)實現(xiàn)高處理能力。該雷達(dá)信號處理系統(tǒng)兼具體積小、結(jié)構(gòu)緊固、散熱性好的特點。系統(tǒng)還包括程序存儲器,將程序存儲器劃分為多個分區(qū),對于不同的雷達(dá)模式應(yīng)用需求設(shè)計不同的應(yīng)用軟件放置在不同的分區(qū)內(nèi),通過對所述應(yīng)用軟件在線切換完成系統(tǒng)的重構(gòu),實現(xiàn)了系統(tǒng)的通用性和可擴(kuò)展性能力,使雷達(dá)信號處理模塊化、標(biāo)準(zhǔn)化、通用化,進(jìn)而實現(xiàn)系統(tǒng)在多種雷達(dá)模式(主動雷達(dá)模式、被動雷達(dá)模式、主被動復(fù)合雷達(dá)模式)應(yīng)用背景下功能一體化。

附圖說明

圖1為本發(fā)明實例提供的系統(tǒng)硬件平臺結(jié)構(gòu)框圖;

圖2為本發(fā)明實例提供的系統(tǒng)混合通信板框圖;

圖3為本發(fā)明實例提供的系統(tǒng)通用信號處理板框圖;

圖4為本發(fā)明實例提供的soc芯片內(nèi)部結(jié)構(gòu)圖;

圖5為本發(fā)明實例提供的主動雷達(dá)模式fpga軟件結(jié)構(gòu)圖;

圖6為本發(fā)明實例提供的被動雷達(dá)模式fpga軟件結(jié)構(gòu)圖;

圖7為本發(fā)明實例提供的dsp軟件結(jié)構(gòu)圖。

具體實施方式

下面結(jié)合附圖對本發(fā)明的具體實施方式作進(jìn)一步說明。在此需要說明的是,對于這些實施方式的說明用于幫助理解本發(fā)明,但并不構(gòu)成對本發(fā)明的限定。

實施例一:

如圖1所示,本發(fā)明的基于vpx平臺的雷達(dá)信號處理系統(tǒng),包括vpx處理機,vpx處理機包括綜控板、接口板、電源板、背板,綜控板和接口板結(jié)合實現(xiàn)vpx處理機與控制系統(tǒng)、遙測系統(tǒng)和(雷達(dá))天線前端通信,完成指令收發(fā)和解析、數(shù)據(jù)下傳和總體流程控制,電源板為系統(tǒng)提供各類供電電源,背板完成各板卡組件的拓?fù)溥B接,其特征在于:所述系統(tǒng)還包括,

混合通信板,集成多通道ad/da和virtex-7fpga處理器,用于調(diào)頻波形控制、信號采集及相應(yīng)的信號前級預(yù)處理工作,并進(jìn)行雷達(dá)工作時序控制,本實施例中,具體還用于主/被動雷達(dá)模式(具體即是主動雷達(dá)模式、被動雷達(dá)模式或主動被動復(fù)合雷達(dá)模式)下高速接口控制、信號采集,主動雷達(dá)模式下完成雷達(dá)工作時序控制、調(diào)頻波形控制、數(shù)字波束合成、數(shù)字下變頻、脈沖壓縮、agc增益控制,被動雷達(dá)模式下完成脈沖參數(shù)測量與計算、pin衰減控制、掃頻控制;

通用信號處理板,集成多片多核dsp6678處理架構(gòu),用于實現(xiàn)高性能計算,本實施例中,具體還用于根據(jù)信號處理任務(wù)的需求進(jìn)行快速擴(kuò)展,多核協(xié)同工作實現(xiàn)主/被動雷達(dá)模式下的下雷達(dá)sar成像、測高、單脈沖測角、抗干擾和跟蹤識別工作模式下的運算處理和數(shù)據(jù)交互;

混合通信板和通用信號處理板內(nèi)包括含soc芯片的集成soc模塊組件,用于系統(tǒng)電源管控和系統(tǒng)管理,系統(tǒng)管理具體為實現(xiàn)硬件槽位識別,pmbus指令通信,板卡(混合通信板和通用信號處理板)基本信息存儲,電壓、電流和溫度檢測,復(fù)位加載。

混合通信板和通用信號處理板通過vpx背板連接在vpx處理機內(nèi),混合通信板和通用信號處理板之間主要通過x4srio總線進(jìn)行通信,通信速率為12.5gbps,混合通信板和通用信號處理板的vpx連接器標(biāo)識為p0、p1和p2。

混合通信板的框圖見圖2,混合通信板的adc芯片選用ti公司的ad54j54芯片,dac芯片選用ad公司的ad9739,fpga選用xilinx公司的高端virtex-7系列產(chǎn)品xc7vx690t。fpga處理器外掛flash存儲器(即程序存儲器)用于程序存儲并連接ddr3存儲器用于大容量數(shù)據(jù)緩存。fpga處理器與soc芯片通過gpio和spi接口通信,dac和adc工作模式soc芯片可編程配置。flash存儲器高位地址線連接到soc芯片上用于實現(xiàn)軟件加載地址控制。

通用信號處理板的結(jié)構(gòu)框圖見圖3,通用信號處理板的dsp處理器選用ti公司的八核dsp芯片tms320c6678。dsp1和dsp2之間通過hyperlink、spi、pcie和emif總線進(jìn)行數(shù)據(jù)通信,dsp1和dsp2均外掛flahs存儲器作為程序存儲器并連接ddr3存儲器作為外擴(kuò)存儲。dsp1和dsp2與soc芯片均通過gpio、spi和emif接口進(jìn)行通信,soc芯片通過rst#信號控制dsp1和dsp2復(fù)位。

混合通信板和通用信號處理板的soc芯片均選用microsemi的a2f500m3g芯片,芯片內(nèi)部集成了cortextm-m3、fpga、存儲器、比較器、ad/da等相關(guān)功能電路,芯片分為微控制器子系統(tǒng)、fpga模塊、模擬接口系統(tǒng)和i/o及電源4個部分。soc芯片內(nèi)部結(jié)構(gòu)圖見圖4。soc芯片主要實現(xiàn)硬件槽位識別、電源管理和二次電源采集、pmbus指令通信、板卡基本信息存儲、溫度檢測、復(fù)位加載等功能。槽位地址管腳在vpx背板上根據(jù)槽位的不同定義為接地或者懸空,板卡內(nèi)部全部上拉,上電后soc芯片讀取這個幾個io口的電平狀態(tài),將這幾個邏輯電平設(shè)置為pmbus的地址信息,當(dāng)pmbus和外部通信的時候采用這個地址作為本板id。pmbus作為外部與板卡通信指令口,將其連接到soc芯片的其中一個i2c接口。soc芯片采用3.3v_aux供電,soc芯片的核電源1.5v也采用3.3v_aux轉(zhuǎn)換,io電壓采用lt1963二次供電,板卡(即混合通信板和通用信號處理板)其他芯片的電源均來自p0連接器的12v轉(zhuǎn)換,soc芯片通過管控電源轉(zhuǎn)換的使能信號完成電源管理。板卡(混合通信板和通用信號處理板)溫度檢測通過soc芯片的i2c接口下掛溫度傳感器lm75bim實現(xiàn),板卡(混合通信板和通用信號處理板)上設(shè)有多個溫度傳感器。板卡(混合通信板和通用信號處理板)采用atmel公司的e2prom型號:at24c512c,通過i2c總線連接至soc芯片,主要存儲板卡(混合通信板和通用信號處理板)的一些基本信息。板卡(混合通信板和通用信號處理板)中soc芯片采用3.3v_aux供電,soc芯片最先從片內(nèi)flash加載程序,然后控制板卡(混合通信板和通用信號處理板)內(nèi)dsp或fpga復(fù)位,dsp或fpga被soc芯片釋放復(fù)位后自動從各自的flash加載程序。

本發(fā)明的系統(tǒng)外部接口主要包括spi、gtp、pmbus、jtag、sgmii、gpio、lvds接口。pmbus接口是板卡(混合通信板和通用信號處理板)對外的管理接口,外部管理模塊可通過pmbus對板卡(混合通信板和通用信號處理板)上的電源狀態(tài)進(jìn)行監(jiān)控和系統(tǒng)管理。jtag接口作為板卡(混合通信板和通用信號處理板)的管理接口連至soc芯片,配合內(nèi)部控制邏輯實現(xiàn)本板卡fpga(dsp)的訪問。所有srio、spi、gtp為fpga(dsp)與外部板卡的通信接口,sgmii接口為系統(tǒng)維護(hù)接口,lvds接口為系統(tǒng)與天線前端的通信接口。

本發(fā)明的系統(tǒng)還包括程序存儲器,將程序存儲器劃分為多個分區(qū),對于不同的應(yīng)用需求(主要是主動/被動雷達(dá)模式,即主動雷達(dá)模式、被動雷達(dá)模式或主動被動復(fù)合雷達(dá)模式)設(shè)計不同的應(yīng)用軟件放置在不同的分區(qū)內(nèi),通過對所述應(yīng)用軟件在線切換完成系統(tǒng)的重構(gòu),具體實現(xiàn)過程為:所述集成soc模塊組件收到通過內(nèi)部總線(本實施例中為pmbus總線)傳送的軟件切換命令后,由soc芯片控制進(jìn)行軟件版本切換,對于dsp程序,先控制dsp復(fù)位,dsp從bootloader啟動,再讀取soc芯片的寄存器,根據(jù)寄存器的值確定加載運行的軟件版本;對于fpga程序,soc芯片控制fpga復(fù)位,通過控制存儲器(即程序存儲器)的高位地址線選擇加載的軟件版本。本實施例中,系統(tǒng)將切換后的軟件版本信息存儲起來保證信息掉電不丟失從而使系統(tǒng)具有掉電記憶功能。

本實施例中,本發(fā)明的系統(tǒng)的fpga或者dsp外掛的flash程序存儲器被分為4-8個分區(qū),每個分區(qū)可存放不同的應(yīng)用軟件(即程序)。

本實施例中,本發(fā)明的系統(tǒng)在線重構(gòu)具體實現(xiàn)過程為:

步驟1:上位系統(tǒng)根據(jù)總體流程發(fā)送軟件切換控制命令,控制命令中包含要進(jìn)行軟件切換的板卡id、處理器id和flash(即程序存儲器)切換分區(qū)編號。系統(tǒng)內(nèi)部完成接口轉(zhuǎn)換通過pmbus總線傳遞命令。雷達(dá)信號處理系統(tǒng)各板卡的soc模塊接收并解析pmbus總線發(fā)送的控制命令。

步驟2:soc模塊解析為本板的軟件切換命令后將軟件切換控制信息存儲到相應(yīng)的寄存器和外部e2prom中并返回軟件切換命令應(yīng)答。軟件切換狀態(tài)具有掉電記憶功能。

步驟3:soc模塊控制系統(tǒng)fpga或者dsp復(fù)位重加載。對于dsp軟件,dsp先從bootloader啟動,再讀soc芯片的寄存器,根據(jù)寄存器的值確定加載運行的軟件版本。對于fpga軟件,soc芯片通過控制flash(即程序存儲器)的高位地址線選擇加載的軟件版本。

步驟4:上位系統(tǒng)發(fā)送軟件切換查詢命令,系統(tǒng)收到查詢命令后返回軟件切換查詢命令應(yīng)答,應(yīng)答中包含軟件切換執(zhí)行結(jié)果。

本發(fā)明的系統(tǒng)還包括軟件在線升級模塊,所述在線升級模包括硬件接口,所述硬件接口保證板卡具備對本板卡程序存儲器進(jìn)行在線燒寫的能力,并通過所述體系架構(gòu)總線在所述系統(tǒng)上一級系統(tǒng)(本實施例為飛行器級系統(tǒng))提供統(tǒng)一的接口完成所述系統(tǒng)內(nèi)混合通信板和通用信號處理板的應(yīng)用軟件(即soc芯片內(nèi)的dsp、fpga軟件)的在線升級,便利所述系統(tǒng)后續(xù)的軟件維護(hù),具體實現(xiàn)過程為:所述系統(tǒng)隨整機安裝到飛行器平臺后,通過測試設(shè)備與飛行器系統(tǒng)外部接口,本實施例中通過測試設(shè)備與飛行器系統(tǒng)殼體尾部的接口發(fā)送軟件升級控制命令給雷達(dá)信號處理系統(tǒng),混合通信板和通用信號處理板的集成soc模塊組件收到軟件升級指令后將混合通信板和通用信號處理板的fpga或者dsp程序切換到具有程序升級功能的初始版本并返回程序升級準(zhǔn)備好應(yīng)答,測試設(shè)備收到程序升級命令應(yīng)答后,傳送軟件升級數(shù)據(jù)給混合通信板和通用信號處理板進(jìn)行軟件在線升級。

本實施例中,軟件在線升級具體實現(xiàn)過程為:

本發(fā)明的系統(tǒng)隨整機安裝完成后,可使用地面測試設(shè)備通過飛行器系統(tǒng)殼體尾部預(yù)留的網(wǎng)口完成系統(tǒng)軟件在線升級。系統(tǒng)的fpga或者dsp外掛的flash程序存儲器被分為4-8個分區(qū),每個分區(qū)可存放不同版本應(yīng)用程序。默認(rèn)的帶有軟件升級功能的初始版本存放于分區(qū)1。程序升級數(shù)據(jù)則主要是pc通過以太網(wǎng)發(fā)送。對于通用信號處理板,程序升級數(shù)據(jù)通過tftp協(xié)議發(fā)送,混合通信板通過ftp協(xié)議發(fā)送。在線升級具體實現(xiàn)過程為:

步驟1:測試設(shè)備先通過網(wǎng)口發(fā)送軟件升級控制命令,控制命令中包含要進(jìn)行程序升級的板卡id、處理器id和flash分區(qū)編號。系統(tǒng)內(nèi)部完成接口轉(zhuǎn)換通過pmbus總線傳遞命令。雷達(dá)信號處理系統(tǒng)各板卡的soc模塊接收并解析pmbus總線發(fā)送的控制命令。

步驟2:soc模塊解析為本板的軟件在線升級命令后將本板dsp或者fpga處理器程序切換到具有程序升級功能的初始版本狀態(tài)。初始版本為廠家自帶的基礎(chǔ)軟件版本,主要完成和應(yīng)用無關(guān)的基礎(chǔ)維護(hù)功能。

步驟3:板卡完成軟件切換準(zhǔn)備好程序升級后,將軟件升級命令應(yīng)答通過pmbus總線發(fā)送給地面測試設(shè)備。地面測試設(shè)備收到軟件升級命令應(yīng)答后,接著發(fā)送軟件升級數(shù)據(jù)。

步驟4:軟件升級數(shù)據(jù)發(fā)送完成后,測試設(shè)備可發(fā)送軟件升級狀態(tài)查詢命令,系統(tǒng)收到該指令后返回軟件升級狀態(tài)查詢應(yīng)答,應(yīng)答中包含軟件升級執(zhí)行結(jié)果。地面測試設(shè)備根據(jù)返回的軟件升級執(zhí)行結(jié)果判斷是否進(jìn)行數(shù)據(jù)重發(fā)。

本發(fā)明的系統(tǒng)還包括健康管理模塊,用于對所述系統(tǒng)壽命周期內(nèi)工作狀態(tài)進(jìn)行監(jiān)測并記錄監(jiān)測信息,據(jù)此監(jiān)測信息預(yù)測設(shè)備性能狀態(tài)的發(fā)展趨勢和可能發(fā)生的故障,便于在所述系統(tǒng)使用過程中能快速的發(fā)現(xiàn)異常問題、定位問題和解決問題,從而顯著提高系統(tǒng)的可靠性、維修性、保障性和安全性。

健康管理監(jiān)測信息主要包括混合通信板和通用信號處理板電壓、電流和混合通信板和通用信號處理板及主芯片(即ad、da、fpga、dsp等影響功能的主要關(guān)鍵芯片)附近溫度、累計工作時間,所有信息存儲在soc模塊外掛的e2prom存儲器里,定時每3分鐘進(jìn)行一次信息更新。模擬信息采集及控制模塊主要由soc芯片內(nèi)部的模擬信號采集模塊和i/o系統(tǒng)實現(xiàn)。模擬接口部分包含3個12位adc接口,內(nèi)部2.56v參考,5個高性能模擬信號處理模塊,10個高速電壓比較器。設(shè)計采用soc芯片內(nèi)部集成的adc來采集電源電壓,并從放大器采集主電源電流,實現(xiàn)對板卡電源的監(jiān)控和管理。

電源電壓檢測通過soc芯片內(nèi)部集成的模擬采集模塊實現(xiàn),各路電源經(jīng)rc電路濾波后直接引入soc芯片,由soc芯片對電壓進(jìn)行采集并判斷是否偏差過大。

電流檢測通過在電路中串聯(lián)大功率毫歐級高精度電阻,功率電阻兩端的電壓直接引入soc芯片,soc芯片內(nèi)部將輸入壓差放大后直接采集壓差來確定電流大小并給予相關(guān)控制。

板卡(混合通信板和通用信號處理板)溫度檢測通過soc芯片的i2c接口下掛溫度傳感器lm75cim-3實現(xiàn),板上共2個溫度傳感器,分別采集板卡上板溫及處理器芯片的溫度,同時溫度傳感器的os管腳引入soc芯片用于確認(rèn)是否出現(xiàn)過溫。

健康管理系統(tǒng)通過響應(yīng)外部的命令,當(dāng)混合通信板和通用信號處理板soc芯片收到健康管理模塊查詢命令后,通過內(nèi)部總線將將混合通信板和通用信號處理板的狀態(tài)信息返回并經(jīng)匯總后返回給測試系統(tǒng),用于系統(tǒng)健康狀態(tài)分析,利用累積的系統(tǒng)壽命周期內(nèi)工作狀態(tài)監(jiān)測信息(數(shù)據(jù)),借助數(shù)學(xué)方法評估所述系統(tǒng)的健康狀況,預(yù)測設(shè)備的性能趨勢和可能發(fā)生的故障,并提供科學(xué)的排故和維修建議。

本實施例中,健康管理具體實現(xiàn)過程為:

步驟1:上位系統(tǒng)發(fā)送健康管理系統(tǒng)查詢命令。系統(tǒng)內(nèi)部完成接口轉(zhuǎn)換通過pmbus總線傳遞命令。雷達(dá)信號處理系統(tǒng)各板卡的soc模塊接收并解析pmbus總線發(fā)送的查詢命令。

步驟2:soc模塊解析為本板的查詢命令后,將板卡soc模塊外掛的e2prom里存儲的健康狀態(tài)監(jiān)測信息讀出并上傳。

步驟3:所有板卡的健康管理系統(tǒng)查詢信息經(jīng)匯總后返回測試系統(tǒng),便于在產(chǎn)品使用過程中能快速的發(fā)現(xiàn)異常問題、定位問題和解決問題

本發(fā)明的系統(tǒng)還包括電源管控模塊,用于根據(jù)所述系統(tǒng)工作流程和功耗要求,對所述系統(tǒng)進(jìn)行電源管控。系統(tǒng)上電后,其所有板卡(包括混合通信板和通用信號處理板)的soc模塊均處于加電狀態(tài),但板卡(混合通信板和通用信號處理板)的大功耗器件可以根據(jù)要求進(jìn)行電源管控。該具體過程為:系統(tǒng)各板卡soc芯片收到并解析通過內(nèi)部總線(pmbus總線)發(fā)送的電源管控指令后,由soc芯片控制電源使能信號對本板卡(混合通信板和通用信號處理板)進(jìn)行電源管控。

本發(fā)明的系統(tǒng)各板卡的soc模塊采用3.3v_aux供電,該電源在系統(tǒng)通電后即輸出,所以soc芯片始終處于工作狀態(tài),soc芯片的核電源1.5v也采用3.3v_aux轉(zhuǎn)換,io電壓采用lt1963二次供電。除了soc芯片的電源外,系統(tǒng)板卡其他芯片的電源均由經(jīng)p0連接器輸入的12v轉(zhuǎn)換,p0的12v經(jīng)過pmos后進(jìn)入其他電源轉(zhuǎn)換芯片,soc芯片的io腳控制pmos的使能端,這樣就能夠?qū)崿F(xiàn)對系統(tǒng)的電源管控功能。

本實施例中,本發(fā)明的系統(tǒng)電源管控具體實現(xiàn)過程:

步驟1:上位系統(tǒng)發(fā)送電源管控命令,管控命令中包含要進(jìn)行電源管控的板卡id和電源管控狀態(tài)字。系統(tǒng)內(nèi)部完成接口轉(zhuǎn)換通過pmbus總線傳遞命令。雷達(dá)信號處理系統(tǒng)各板卡(混合通信板和通用信號處理板)的soc模塊接收并解析pmbus總線發(fā)送的管控命令。

步驟2:soc模塊解析為本板卡的電源管控命令后根據(jù)電源管控命令字將io管腳電平置高或者置低控制板卡其他芯片通電或者斷電。

步驟3:板卡完成電源管控后,返回電源管控應(yīng)答,應(yīng)答中包含電源管控執(zhí)行結(jié)果。

實施例二:

如前實施例一所述的基于vpx平臺的雷達(dá)信號處理系統(tǒng)的應(yīng)用軟件設(shè)計方法,所述軟件包含fpga軟件和dsp軟件,其特征在于,

在主動雷達(dá)模式下,雷達(dá)信號處理系統(tǒng)fpga軟件的主控模塊根據(jù)收到的雷達(dá)工作模式參數(shù),控制發(fā)送脈沖壓縮處理后的數(shù)字波束合成數(shù)據(jù)給dsp軟件進(jìn)行處理;線性調(diào)頻波形控制模塊根據(jù)收到的重頻、脈寬和帶寬參數(shù)計算并生成線性調(diào)頻波形信號輸出;雷達(dá)工作時序控制模塊根據(jù)接收到的重頻、脈寬、采樣寬度、波門前沿參數(shù)控制雷達(dá)發(fā)送與接收時序;

在被動雷達(dá)模式下,根據(jù)流程控制完成測頻、相位解算、幅度解算、脈沖到達(dá)時間解算、角度解算、pin衰減控制、掃頻控制等功能并將參數(shù)信息輸出給dsp軟件完成目標(biāo)分選與跟蹤處理;

被動雷達(dá)模式和主動雷達(dá)模式的信號處理fpga軟件可通過軟件切換實現(xiàn)雷達(dá)信號處理系統(tǒng)的系統(tǒng)在線重構(gòu);

dsp軟件采用分層解耦的設(shè)計原則,通過一系列的標(biāo)準(zhǔn)、規(guī)范和協(xié)議的制定,使得軟件結(jié)構(gòu)的系統(tǒng)層、應(yīng)用層、組件層和管理層不同層次的開發(fā)具有較強的獨立性,各層級可開展并行開發(fā)設(shè)計。軟件系統(tǒng)層,實現(xiàn)不同類型雷達(dá)體制的作戰(zhàn)需求。軟件應(yīng)用層,實現(xiàn)不同雷達(dá)體制應(yīng)用功能所需的各種工作方式的控制。軟件組件層,實現(xiàn)各種工作方式下信息處理功能。軟件管理層,實現(xiàn)硬件資源管理和系統(tǒng)通信管理。不同層級的技術(shù)可在技術(shù)規(guī)范和標(biāo)準(zhǔn)的指導(dǎo)下可動態(tài)更新。其中,軟件管理層獨立于其他三個層級且和具體的硬件平臺緊密耦合,需要隨著硬件平臺進(jìn)行動態(tài)變化;系統(tǒng)層、應(yīng)用層和組件層和硬件平臺解耦,此三個層級的構(gòu)件按照制定的協(xié)議規(guī)范進(jìn)行標(biāo)準(zhǔn)化封裝設(shè)計,可快速實現(xiàn)跨平臺移植。層級之間通過逐級調(diào)用下一級層級的構(gòu)件組成軟件處理系統(tǒng)。根據(jù)應(yīng)用的特性進(jìn)行計算節(jié)點規(guī)劃和分配,多dsp多核并行協(xié)作運算,滿足算法實時性需求。

被動雷達(dá)模式和主動雷達(dá)模式的dsp軟件均可通過軟件切換實現(xiàn)雷達(dá)信號處理系統(tǒng)的系統(tǒng)在線重構(gòu)。

在主動雷達(dá)模式下,所述雷達(dá)信號處理系統(tǒng)fpga軟件還包括數(shù)字下變頻、數(shù)字波束合成、脈沖壓縮模塊,所述模塊根據(jù)收到的通道權(quán)重因子、采樣點數(shù)、抽取參數(shù)、濾波器系數(shù)和軟件內(nèi)部輸入的本振等參數(shù)進(jìn)行相應(yīng)處理,完成雷達(dá)中頻信號前級預(yù)處理;

其中通道權(quán)重因子可由抗干擾算法根據(jù)干擾方向計算分配權(quán)重;

軟件模塊進(jìn)行標(biāo)準(zhǔn)化和通用化設(shè)計,根據(jù)上位系統(tǒng)輸入的雷達(dá)參數(shù)動態(tài)調(diào)整滿足雷達(dá)sar成像、測高、抗干擾、單脈沖測角主/被動雷達(dá)模式的前級信號預(yù)處理需求;

系統(tǒng)將切換后的fpga軟件和dsp軟件版本信息存儲起來保證信息掉電不丟失從而使系統(tǒng)具有掉電記憶能力。就是將軟件切換控制信息存儲到外部e2prom中,系統(tǒng)重現(xiàn)上電會讀取e2prom中的版本信息控制加載相應(yīng)軟件版本,從而使系統(tǒng)具有掉電記憶功能。

主動雷達(dá)模式fpga軟件結(jié)構(gòu)圖見圖5,fpga軟件設(shè)計步驟如下:

fpga軟件通過srio總線與其他板卡進(jìn)行通信。軟件內(nèi)部的srio總線接口模塊實現(xiàn)srio總線接口時序控制,srio總線仲裁模塊根據(jù)設(shè)定的仲裁機制對軟件內(nèi)部發(fā)起的總線占用請求進(jìn)行仲裁。軟件內(nèi)部的ddr3接口模塊實現(xiàn)ddr3存儲器接口時序控制,ddr3接口仲裁模塊根據(jù)設(shè)定的仲裁機制對軟件內(nèi)部發(fā)起的ddr3接口使用請求進(jìn)行仲裁。

步驟1:軟件接收上位系統(tǒng)通過srio總線發(fā)送的參數(shù)幀,參數(shù)幀經(jīng)srio總線接口模塊和srio總線仲裁模塊后進(jìn)入srio數(shù)據(jù)解析模塊,解析得到工作參數(shù)。根據(jù)工作參數(shù)中的脈寬、帶寬、抽取因子和重頻參數(shù)完成調(diào)頻波形控制。其中,調(diào)頻波形生成模塊采用cordic算法計算生成調(diào)頻信號,調(diào)頻信號經(jīng)過多級內(nèi)插后將數(shù)據(jù)送入接口緩存;然后根據(jù)重頻參數(shù)和雷達(dá)發(fā)送時序控制從接口緩存模塊讀取數(shù)據(jù)進(jìn)行數(shù)字上變頻模塊后輸出滿足采樣率和中心頻率要求的調(diào)頻波形并通過dac接口模塊發(fā)送至dac芯片。雷達(dá)工作時序控制模塊根據(jù)工作參數(shù)中的脈寬、重頻和波門前沿完成雷達(dá)工作發(fā)送/接收時序輸出,發(fā)送/接收時序通過lvds接口發(fā)送至天線前端。

步驟2:混合通信板共有16個adc采集通道,分別為通道1-16。adc接口模塊根據(jù)雷達(dá)接收時序和采樣點數(shù)參數(shù)控制完成16個adc通道的信號采集。

步驟3:采集到的16通道信號分別送入通道1-16數(shù)字正交采樣模塊處理后生成16路i/q信號。

步驟4:各通道的i/q信號分別和各自的通道權(quán)重因子參數(shù)相乘,通道權(quán)重因子a1-a16可由dsp軟件的抗干擾算法計算后輸入,默認(rèn)狀態(tài)下各通道權(quán)重因子相同。

步驟5:16通道i/q信號完成和通道權(quán)重因子相乘后同步送入數(shù)字求和運算、數(shù)字求差運算1和數(shù)字求差運算2模塊進(jìn)行數(shù)字求和與求差運算,生成和路、俯仰差路和方位差路三路信號,即完成數(shù)字波束合成。自動增益控制模塊通過計算和路信號的統(tǒng)計值并和設(shè)定的雙門限比較進(jìn)行增益控制。增益控制命令通過lvds接口模塊按照通信協(xié)議傳輸至天線前端。

步驟6:和路、俯仰差路和方位差路信號分別送入多相濾波模塊,模塊使用的抽取因子參數(shù)和濾波器系數(shù)由上位系統(tǒng)通過srio總線輸入。多相濾波處理后即完成數(shù)字下變頻處理。和路數(shù)據(jù)一路送入脈沖壓縮模塊進(jìn)行脈壓處理,另外一路送入接口轉(zhuǎn)換模塊,接口轉(zhuǎn)換模塊完成數(shù)據(jù)緩存和讀寫控制及模塊間時序轉(zhuǎn)換,數(shù)據(jù)經(jīng)ddr3接口仲裁模塊仲裁后通過ddr3接口模塊寫入外部ddr3存儲器。ddr3與srio總線接口轉(zhuǎn)換模塊根據(jù)內(nèi)部工作時序控制向ddr3接口仲裁模塊發(fā)送接口使用申請,經(jīng)仲裁后將ddr3緩存的和路脈沖壓縮數(shù)據(jù)通過ddr3接口模塊從外部ddr3存儲器中讀出并緩存,然后經(jīng)srio總線仲裁模塊仲裁后通過srio總線模塊發(fā)送至綜控板進(jìn)行進(jìn)一步處理。

步驟6:多相濾波后的三路信號分別送入脈沖壓縮模塊進(jìn)行脈壓處理。脈沖壓縮過程中的匹配濾波參數(shù)由輸入的本振計算得到。

步驟7:脈沖壓縮后的和路、俯仰差路和方位差路數(shù)據(jù)分別送入接口轉(zhuǎn)換模塊。接口轉(zhuǎn)換模塊完成數(shù)據(jù)緩存和讀寫控制及模塊間時序轉(zhuǎn)換。數(shù)據(jù)經(jīng)srio總線仲裁模塊仲裁后通過srio總線接口模塊輸出至dsp軟件進(jìn)行下一步處理。

被動雷達(dá)模式fpga軟件結(jié)構(gòu)圖見圖6,軟件設(shè)計步驟如下:

步驟1:軟件接收天線前端輸入的脈沖信號,adc接口模塊完成多通道adc信號采集。幅度解算模塊進(jìn)行信號幅度解算,脈沖檢測模塊根據(jù)采集信號幅度與設(shè)定的門限的關(guān)系判斷是否為有效的脈沖信號從而使能脈沖到達(dá)時間解算、脈寬解算、相位解算和角度解算模塊和測頻控制模塊。門限可根據(jù)溫度和本振參數(shù)進(jìn)行自適應(yīng)調(diào)節(jié)控制。

步驟2:對幅度有效的脈沖信號進(jìn)行脈沖寬度解算,當(dāng)脈沖寬度小于門限值時判斷信號為無效脈沖不做處理,當(dāng)脈沖信號寬度大于門限值時計算的脈沖到達(dá)時間、幅度、相位、頻率和角度信息有效。

步驟3:系統(tǒng)補償表通過地測加載存儲到fpga外掛的flash存儲器中。根據(jù)adc通道和計算測量得到的頻率、幅度、pin衰減檔等信息進(jìn)行補償查表,補償查表模塊根據(jù)綜合信息生成相應(yīng)的查詢地址并通過flash接口模塊讀取flash存儲的補償數(shù)據(jù)對相位和角度進(jìn)行補償查表。角度解算時進(jìn)行解模糊運算。

步驟4:將計算和測量得到脈沖到達(dá)時間、脈寬、頻率、幅度、相位,角度等脈沖信息送入接口轉(zhuǎn)換模塊,接口轉(zhuǎn)換模塊完成數(shù)據(jù)緩存和讀寫控制及模塊間時序轉(zhuǎn)換,脈沖信息打包后經(jīng)srio總線仲裁模塊仲裁后通過srio總線接口模塊發(fā)送至dsp進(jìn)行下一步處理。

步驟5:軟件接收dsp通過srio總線發(fā)送的掃頻控制命令幀,命令幀經(jīng)srio總線接口模塊和srio總線仲裁模塊后進(jìn)入srio數(shù)據(jù)解析模塊,解析得到掃頻控制信息送入掃頻控制模塊。掃頻控制模塊計算掃描中心頻率等信息發(fā)送給lvds接口模塊,lvds模塊按照通信協(xié)議打包后發(fā)送給天線前端;然后等待接收天線前端返回的應(yīng)答幀并根據(jù)應(yīng)答幀中的掃頻切換狀態(tài)判斷是否重新發(fā)起通信流程并根據(jù)要求設(shè)置中止流程機制。

步驟6:pin衰減控制模塊監(jiān)測采集信號的幅度值并實時進(jìn)行衰減控制。將pin衰減檔控制信息送入lvds模塊,lvds模塊按照通信協(xié)議打包后發(fā)送至天線前端進(jìn)行衰減控制。

本發(fā)明的系統(tǒng)的dsp軟件具體設(shè)計過程如下:

軟件結(jié)構(gòu)層次化設(shè)計分別為系統(tǒng)層、應(yīng)用層,組件層和管理層,不同層級之間功能解耦。通過層級之間的接口規(guī)范實現(xiàn)了對底層硬件的屏蔽和封裝。軟件管理層獨立于其他三個層級且和具體的硬件平臺緊密耦合,通過管理層實現(xiàn)硬件底層和其他三個層級的功能解耦。軟件頂層設(shè)計人員根據(jù)系統(tǒng)需求,采用自頂而下的設(shè)計方式積木式搭建軟件結(jié)構(gòu),無需考慮具體的底層硬件結(jié)構(gòu),數(shù)據(jù)通信和配置過程。因此,可支持頂層應(yīng)用在不同硬件的跨平臺移植。

本實例提供的dsp軟件結(jié)構(gòu)見圖7。

系統(tǒng)層為雷達(dá)工作體制層面。本實例包含主動雷達(dá),被動雷達(dá)和主被動復(fù)合雷達(dá),涵蓋了當(dāng)前雷達(dá)系統(tǒng)的主要工作體制。系統(tǒng)層根據(jù)系統(tǒng)需求調(diào)用應(yīng)用層構(gòu)件構(gòu)建相應(yīng)工作體制的雷達(dá)信號處理軟件結(jié)構(gòu)。

應(yīng)用層為雷達(dá)信號處理工作模式層面。本實例包含sar成像、測高、單脈沖測角、抗干擾和跟蹤識別。應(yīng)用層根據(jù)應(yīng)用需求調(diào)用組件層構(gòu)件組成相應(yīng)雷達(dá)工作模式信號處理軟件應(yīng)用結(jié)構(gòu)。

組件層為雷達(dá)信號處理具體環(huán)節(jié)實現(xiàn)層面。本實例組件層包含特征提取、圖像匹配、恒虛警處理、脈沖壓縮、運動補償、多普勒中心估計、幾何校正、匹配濾波、干擾抑制等。組件規(guī)模根據(jù)應(yīng)用需求變化不斷進(jìn)行擴(kuò)展,組件按照制定的標(biāo)準(zhǔn)和規(guī)范進(jìn)行設(shè)計,方便進(jìn)行功能擴(kuò)展和在不同硬件平臺的移植。

管理層包含系統(tǒng)硬件資源管理和系統(tǒng)通信管理組件。系統(tǒng)硬件資源管理用于實現(xiàn)中斷和寄存器管理、任務(wù)創(chuàng)建和調(diào)度及內(nèi)存管理等。系統(tǒng)通信管理實現(xiàn)hyperlink、rapidio、pcie等總線通信管理,實現(xiàn)數(shù)據(jù)交互和接口管理。管理層和其他層相互獨立,為上層應(yīng)用實現(xiàn)跨平臺移植提供支撐。

當(dāng)前第1頁1 2 
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評論。精彩留言會獲得點贊!
1