日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

一種應(yīng)用于gps通信系統(tǒng)的衛(wèi)星信號(hào)模擬發(fā)生器的制造方法

文檔序號(hào):6249761閱讀:634來源:國(guó)知局
一種應(yīng)用于gps通信系統(tǒng)的衛(wèi)星信號(hào)模擬發(fā)生器的制造方法
【專利摘要】本發(fā)明提供了一種應(yīng)用于GPS通信系統(tǒng)的衛(wèi)星信號(hào)模擬發(fā)生器,包括A/D、D/A、數(shù)據(jù)碼生成模塊、去直流模塊、載波調(diào)制模塊、RAM、信號(hào)輸出能量控制模塊、數(shù)字AGC和數(shù)字輸入輸出I/O,所述的數(shù)據(jù)碼生成模塊、去直流模塊、載波調(diào)制模塊、RAM和信號(hào)輸出能量控制模塊集成在FPGA中;產(chǎn)生GPS衛(wèi)星信號(hào)的基帶碼并對(duì)其完成編碼和載波調(diào)制及空間噪聲信號(hào)的采樣量化,用以將兩者組合并模擬輸出接收機(jī)在實(shí)際環(huán)境中接收到的衛(wèi)星信號(hào)。本發(fā)明具有小型化、低成本、可配置且極易于應(yīng)用的特點(diǎn)。
【專利說明】一種應(yīng)用于GPS通信系統(tǒng)的衛(wèi)星信號(hào)模擬發(fā)生器

【技術(shù)領(lǐng)域】
[0001]本發(fā)明屬于衛(wèi)星通信領(lǐng)域,涉及一種應(yīng)用于GPS衛(wèi)導(dǎo)系統(tǒng)的性能測(cè)試平臺(tái),具體涉及一種基于FPGA設(shè)計(jì)開發(fā)的GPS信號(hào)模擬發(fā)生器。

【背景技術(shù)】
[0002]在衛(wèi)導(dǎo)接收機(jī)性能測(cè)試中,通常需要比對(duì)在指定功率的衛(wèi)星信號(hào)下,接收機(jī)接收到的載噪比、定位精度、誤碼率、抗干擾能力等性能指標(biāo)并進(jìn)行分析。
[0003]GPS衛(wèi)星信號(hào)的能量很低,淹沒在噪聲內(nèi),接收機(jī)接收的衛(wèi)星信號(hào)通常使用衛(wèi)星接收天線對(duì)天收星或者由模擬信號(hào)源產(chǎn)生。
[0004]天線對(duì)天收星的優(yōu)點(diǎn)是接近實(shí)際環(huán)境,但信號(hào)容易受到遮蔽物、天氣、時(shí)間等自然因素影響,指標(biāo)可參照性差,追溯困難,接收環(huán)路較為復(fù)雜,且信號(hào)能量標(biāo)定不準(zhǔn)確。
[0005]模擬衛(wèi)星信號(hào)源是衛(wèi)導(dǎo)系統(tǒng)設(shè)計(jì)階段常用的衛(wèi)星信號(hào)發(fā)生設(shè)備,它輸出包含完整的導(dǎo)航信息的信號(hào);但信號(hào)源成本高,體積大,攜帶困難,受限其使用方式,不易于開發(fā)調(diào)試階段的測(cè)試使用。


【發(fā)明內(nèi)容】

[0006]為了克服現(xiàn)有技術(shù)的不足,本發(fā)明提供一種小型化,低成本,可配置,且極易于應(yīng)用的衛(wèi)星信號(hào)模擬方案,它包含有基本的衛(wèi)星信號(hào)特征,接收機(jī)可對(duì)其行進(jìn)捕獲接收解算,且基于FPGA的可編寫特性,開發(fā)者可根據(jù)實(shí)際應(yīng)用添加各種功能模塊。
[0007]本發(fā)明解決其技術(shù)問題所采用的技術(shù)方案是:包括A/D、D/A、數(shù)據(jù)碼生成模塊、去直流模塊、載波調(diào)制模塊、RAM、信號(hào)輸出能量控制模塊、數(shù)字AGC和數(shù)字輸入輸出I/O。
[0008]所述的數(shù)據(jù)碼生成模塊、去直流模塊、載波調(diào)制模塊、RAM和信號(hào)輸出能量控制模塊集成在FPGA中;所述的A/D采樣量化空間噪聲,先經(jīng)去直流模塊去除A/D輸出的直流分量,再經(jīng)過帶通濾波器形成具有設(shè)定帶寬的噪聲信號(hào)后分為兩路,一路在載波調(diào)制模塊與數(shù)據(jù)碼混頻完成對(duì)數(shù)據(jù)碼的載波調(diào)制,另一路在信號(hào)輸出能量控制模塊與RAM預(yù)存的噪聲系數(shù)相乘形成最終信號(hào)噪底;完成載波調(diào)制的數(shù)據(jù)碼與最終信號(hào)噪底合路后,形成GPS模擬衛(wèi)星信號(hào),分為兩路輸出,一路直接通過數(shù)字I/O輸出,另一路經(jīng)數(shù)字AGC穩(wěn)幅后,再經(jīng)D/A以模擬信號(hào)形式輸出;所述的數(shù)據(jù)碼由數(shù)據(jù)碼生成模塊經(jīng)插值濾波器擴(kuò)頻后形成。
[0009]所述的數(shù)據(jù)碼生成模塊包括一對(duì)多級(jí)反饋移位寄存器,多級(jí)反饋移位寄存器一的平移等價(jià)序列移位寄存器二與多級(jí)反饋移位寄存器一異或相加后得到輸出金碼;寄存器長(zhǎng)度由不同衛(wèi)星系統(tǒng)的碼率決定,初始碼相位和相位選擇器的位置表示了該衛(wèi)星系統(tǒng)不同的星號(hào),由金碼到數(shù)據(jù)碼的擴(kuò)頻調(diào)制增益由系統(tǒng)時(shí)鐘頻率和數(shù)據(jù)碼的位寬控制。
[0010]本發(fā)明的有益效果是:
[0011]1.主要功能通過FPGA內(nèi)部器件實(shí)現(xiàn),硬件需求低,便于開發(fā)。
[0012]2.整個(gè)信號(hào)發(fā)生器為包含A/D、D/A、數(shù)字輸出端口等外圍電路的FPGA開發(fā)板,易于工程實(shí)現(xiàn),且成本低廉。
[0013]3.有數(shù)字、模擬等多種輸出接口形式,可滿足不同接收機(jī)系統(tǒng)的測(cè)試要求,減少了在硬件接口匹配上易出現(xiàn)的兼容性問題。
[0014]4.配置靈活,對(duì)于不同的信號(hào)捕獲靈敏度,跟蹤靈敏度,無需更換硬件,只需配置相應(yīng)模塊的噪聲系數(shù)等設(shè)計(jì)參數(shù)。
[0015]5.工作狀態(tài)穩(wěn)定,基本不受外界干擾信號(hào)、軟件狀態(tài)等影響。
[0016]6.體積小,開發(fā)板約為20cm*20cm*5cm ;低功耗,典型應(yīng)用功耗不高于800mw,可攜帶,使用便利。

【專利附圖】

【附圖說明】
[0017]圖1是信號(hào)發(fā)生器內(nèi)部信號(hào)基本流程框圖。
[0018]圖2是金碼生成模塊結(jié)構(gòu)圖。
[0019]圖3是信號(hào)發(fā)生器測(cè)試板接口示意圖。
[0020]圖4是本發(fā)明在接收機(jī)抗窄帶干擾測(cè)試中的使用環(huán)境。

【具體實(shí)施方式】
[0021]下面結(jié)合附圖和實(shí)施例對(duì)本發(fā)明進(jìn)一步說明,本發(fā)明包括但不僅限于下述實(shí)施例。
[0022]本發(fā)明可以產(chǎn)生GPS衛(wèi)星信號(hào)的基帶碼(金碼)并對(duì)其完成編碼和載波調(diào)制,及空間噪聲信號(hào)的采樣量化,用以將兩者組合并模擬輸出接收機(jī)在實(shí)際環(huán)境中接收到的衛(wèi)星信號(hào),接收機(jī)可對(duì)該信號(hào)進(jìn)行載噪比解算等性能測(cè)試,以評(píng)估整個(gè)硬件系統(tǒng)的工作狀態(tài),插入損耗,捕獲能力,抗干擾能力等性能指標(biāo)。
[0023]本發(fā)明基于FPGA平臺(tái),主要功能通過其內(nèi)部器件實(shí)現(xiàn);發(fā)生器包括A/D1、D/A2、數(shù)據(jù)碼生成模塊3、去直流模塊4、載波調(diào)制模塊5、RAM6、信號(hào)輸出能量控制模塊7、數(shù)字AGC8、數(shù)字輸入輸出1/09等;具體結(jié)構(gòu)如下:
[0024]金碼(C/A碼)即偽隨機(jī)碼,它是一對(duì)二進(jìn)制偽隨機(jī)數(shù)序列,由一對(duì)二進(jìn)制優(yōu)選對(duì)生成,其電路實(shí)現(xiàn)方式是一對(duì)多級(jí)反饋移位寄存器;寄存器長(zhǎng)度由不同衛(wèi)星系統(tǒng)的碼率(帶寬)決定,初始碼相位和相位選擇器的位置表示了該衛(wèi)星系統(tǒng)不同的星號(hào),由金碼到數(shù)據(jù)碼的擴(kuò)頻調(diào)制增益由系統(tǒng)時(shí)鐘頻率和數(shù)據(jù)碼的位寬控制,數(shù)據(jù)碼經(jīng)2M帶通濾波后形成具有相應(yīng)衛(wèi)星頻點(diǎn)帶寬的基帶信號(hào)送給下級(jí)模塊。
[0025]圖2為本發(fā)明金碼生成模塊的實(shí)現(xiàn)方式,它將移位寄存器一的平移等價(jià)序列移位寄存器二與一異或相加后得到輸出金碼。移位寄存器的特點(diǎn)是每時(shí)刻的輸出為上一時(shí)刻的輸入,平移等價(jià)序列是通過相位選擇器實(shí)現(xiàn)的,相位選擇器選擇移位寄存器二的寄存單元2輸出,并將該寄存單元與序列輸出(寄存器I)異或相加。
[0026]噪聲樣本通過A/D采樣量化得到,A/D輸入端需接一級(jí)對(duì)應(yīng)頻段的低噪聲放大器,噪聲信號(hào)先經(jīng)過去直流模塊功率統(tǒng)計(jì)去除A/D采樣帶來的直流信號(hào)(該信號(hào)會(huì)影響AGC輸出),再經(jīng)2M帶通濾波器生成所需頻段的噪底后分為兩路信號(hào),一路與數(shù)據(jù)碼混頻完成對(duì)數(shù)據(jù)碼的中頻載波調(diào)制。另一路噪聲與一組預(yù)存的噪聲系數(shù)相乘后,得到需要功率的噪聲信號(hào),并與載波信號(hào)組合形成最終輸出的模擬衛(wèi)星信號(hào)。
[0027]噪聲系數(shù)存儲(chǔ)在RAM內(nèi),長(zhǎng)度由A/D位數(shù)決定,可利用FPGA的在線RAM控制模塊或SPI等方式配置其數(shù)值,來控制最終信號(hào)輸出載噪比的大小。模擬衛(wèi)星信號(hào)根據(jù)輸出接口需求的不同,可以直接在FPGA內(nèi)部寄存輸出給基帶解算模塊;數(shù)字AGC穩(wěn)幅后輸出數(shù)字接口信號(hào);也可通過D/A轉(zhuǎn)化為模擬中頻頻信號(hào)輸出,連接至其他硬件接收處理。
[0028]本發(fā)明屬于基帶處理部分,模擬的是射頻信號(hào)經(jīng)下變頻后的中頻信號(hào)數(shù)字處理部分,GPS系統(tǒng)工作時(shí)鐘為62MHz,信號(hào)中心頻率為15.58MHz,信號(hào)帶寬為2MHz ;數(shù)據(jù)碼生成模塊選用十級(jí)反饋移位寄存器,三倍擴(kuò)頻調(diào)制,初始相位選擇設(shè)置為二號(hào)星。
[0029]如圖1所示,A/D采樣量化空間噪聲,先經(jīng)去直流模塊去除A/D直流分量,再經(jīng)過帶通濾波器形成具有相應(yīng)帶寬的噪聲信號(hào)后分為兩路,一路與數(shù)據(jù)碼混頻完成對(duì)數(shù)據(jù)碼的載波調(diào)制,數(shù)據(jù)碼由金碼產(chǎn)生模塊經(jīng)插值濾波器擴(kuò)頻后形成(實(shí)施方式如圖2),另一路與RAM預(yù)存的噪聲系數(shù)相乘形成最終信號(hào)噪底,噪聲系數(shù)控制噪底大小,即反應(yīng)了載噪比大?。徽{(diào)制后的載波信號(hào)與噪底合路后,形成GPS模擬衛(wèi)星信號(hào),輸出有兩種形式,一種直接通過數(shù)字I/O輸出,另一路經(jīng)數(shù)字AGC穩(wěn)幅后,再經(jīng)D/A以模擬信號(hào)形式輸出。
[0030]如測(cè)試連接圖4所示,圖中系統(tǒng)為北斗接收機(jī)系統(tǒng)抗窄帶干擾能力的測(cè)試環(huán)境。FPGA型號(hào)采用CYCLONE公司的EP3C120,A/D位數(shù)為十四位,D/A位數(shù)十六位;信號(hào)生成器A/D端接口采樣由矢量信號(hào)源產(chǎn)生的帶有干擾的噪聲信號(hào),送入FPGA內(nèi)部,預(yù)設(shè)的噪聲系數(shù)為“00001000000000”,它與采樣噪聲的乘積表示了噪聲信號(hào)的內(nèi)部增益(一個(gè)有效位數(shù)代表6dB),帶有干擾的噪聲信號(hào)與衛(wèi)星信號(hào)組合輸出帶有干擾的模擬衛(wèi)星信號(hào),以數(shù)字連接線的形式連接至接收機(jī)板,GPS接收機(jī)模塊對(duì)接收到的信號(hào)進(jìn)行解算處理,并將解算數(shù)據(jù)通過串口上報(bào)至評(píng)估系統(tǒng),通過串口調(diào)試助手比較不同干擾大小情況下接收到的載噪比信息,可以評(píng)估該接收機(jī)的抗干擾能力。
【權(quán)利要求】
1.一種應(yīng)用于GPS通信系統(tǒng)的衛(wèi)星信號(hào)模擬發(fā)生器,包括A/D、D/A、數(shù)據(jù)碼生成模塊、去直流模塊、載波調(diào)制模塊、RAM、信號(hào)輸出能量控制模塊、數(shù)字AGC和數(shù)字輸入輸出1/0,其特征在于:所述的數(shù)據(jù)碼生成模塊、去直流模塊、載波調(diào)制模塊、RAM和信號(hào)輸出能量控制模塊集成在FPGA中;所述的A/D采樣量化空間噪聲,先經(jīng)去直流模塊去除A/D輸出的直流分量,再經(jīng)過帶通濾波器形成具有設(shè)定帶寬的噪聲信號(hào)后分為兩路,一路在載波調(diào)制模塊與數(shù)據(jù)碼混頻完成對(duì)數(shù)據(jù)碼的載波調(diào)制,另一路在信號(hào)輸出能量控制模塊與RAM預(yù)存的噪聲系數(shù)相乘形成最終信號(hào)噪底;完成載波調(diào)制的數(shù)據(jù)碼與最終信號(hào)噪底合路后,形成GPS模擬衛(wèi)星信號(hào),分為兩路輸出,一路直接通過數(shù)字I/O輸出,另一路經(jīng)數(shù)字AGC穩(wěn)幅后,再經(jīng)D/A以模擬信號(hào)形式輸出;所述的數(shù)據(jù)碼由數(shù)據(jù)碼生成模塊經(jīng)插值濾波器擴(kuò)頻后形成。
2.根據(jù)權(quán)利要求1所述的應(yīng)用于GPS通信系統(tǒng)的衛(wèi)星信號(hào)模擬發(fā)生器,其特征在于:所述的數(shù)據(jù)碼生成模塊包括一對(duì)多級(jí)反饋移位寄存器,多級(jí)反饋移位寄存器一的平移等價(jià)序列移位寄存器二與多級(jí)反饋移位寄存器一異或相加后得到輸出金碼;寄存器長(zhǎng)度由不同衛(wèi)星系統(tǒng)的碼率決定,初始碼相位和相位選擇器的位置表示了該衛(wèi)星系統(tǒng)不同的星號(hào),由金碼到數(shù)據(jù)碼的擴(kuò)頻調(diào)制增益由系統(tǒng)時(shí)鐘頻率和數(shù)據(jù)碼的位寬控制。
【文檔編號(hào)】G01S19/23GK104459727SQ201410680538
【公開日】2015年3月25日 申請(qǐng)日期:2014年11月24日 優(yōu)先權(quán)日:2014年11月24日
【發(fā)明者】張帆, 舒鈺, 陳爾釤, 張文華, 張琦 申請(qǐng)人:中國(guó)電子科技集團(tuán)公司第二十研究所
網(wǎng)友詢問留言 已有0條留言
  • 還沒有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1