日韩成人黄色,透逼一级毛片,狠狠躁天天躁中文字幕,久久久久久亚洲精品不卡,在线看国产美女毛片2019,黄片www.www,一级黄色毛a视频直播

使用標(biāo)準(zhǔn)數(shù)字單元的差分bang-bang相位檢測(cè)器的制造方法

文檔序號(hào):10574042閱讀:791來(lái)源:國(guó)知局
使用標(biāo)準(zhǔn)數(shù)字單元的差分bang-bang相位檢測(cè)器的制造方法
【專(zhuān)利摘要】本公開(kāi)的某些方面提供了用于在例如延遲鎖相環(huán)中使用的全差分相位檢測(cè)器。一個(gè)示例相位檢測(cè)電路一般包括:用于參考信號(hào)的第一輸入;用于將要與參考信號(hào)進(jìn)行比較的輸入信號(hào)的第二輸入;置位復(fù)位(S?R)鎖存器,具有置位輸入、復(fù)位輸入、第一輸出和第二輸出;以及延遲(D)觸發(fā)器,具有邏輯輸入、時(shí)鐘輸入、復(fù)位輸入和邏輯輸出。第一輸入與S?R復(fù)位輸入連接,第二輸入與S?R置位輸入連接,第一S?R輸出與D時(shí)鐘輸入連接,并且第二S?R輸出與D復(fù)位輸入連接。D觸發(fā)器的邏輯輸出指示輸入信號(hào)是超前于還是滯后于參考信號(hào)。
【專(zhuān)利說(shuō)明】使用標(biāo)準(zhǔn)數(shù)字單元的差分bang-bang相位檢測(cè)器
[0001 ] 在3511.5.0§119之下的優(yōu)先權(quán)要求
[0002]本申請(qǐng)要求2014年I月27日提交的美國(guó)臨時(shí)專(zhuān)利申請(qǐng)系列號(hào)N0.61/932,088、以及2014年5月2日提交的美國(guó)專(zhuān)利申請(qǐng)系列號(hào)N0.14/268,120的權(quán)益,這兩個(gè)美國(guó)申請(qǐng)通過(guò)引用以它們的整體并入本文。
技術(shù)領(lǐng)域
[0003]本公開(kāi)的某些方面一般性地涉及射頻(RF)電子電路,并且更特別地,涉及全差分相位檢測(cè)器。
【背景技術(shù)】
[0004]無(wú)線通信網(wǎng)絡(luò)廣泛地被部署來(lái)提供各種通信服務(wù),諸如電話、視頻、數(shù)據(jù)、消息收發(fā)、廣播,等等。這樣的網(wǎng)絡(luò)(它們經(jīng)常是多接入網(wǎng)絡(luò))通過(guò)共享可用的網(wǎng)絡(luò)資源來(lái)支持針對(duì)多個(gè)用戶的通信。例如,一個(gè)網(wǎng)絡(luò)可以是3G(第三代移動(dòng)電話標(biāo)準(zhǔn)和技術(shù))系統(tǒng),其可以經(jīng)由各種3G無(wú)線電接入技術(shù)(RAT)來(lái)提供網(wǎng)絡(luò)服務(wù),各種3G無(wú)線電接入技術(shù)(RAT)包括EVDO (演進(jìn)數(shù)據(jù)優(yōu)化)、IxRTT (I時(shí)代無(wú)線電傳輸技術(shù),或者簡(jiǎn)寫(xiě)為IX)、W-CDMA(寬帶碼分多址)、UMTS-TDD(通用移動(dòng)電信系統(tǒng)-時(shí)分雙工)、HSPA(高速分組接入)、GPRS(通用分組無(wú)線電服務(wù))、或EDGE(全球演進(jìn)的增強(qiáng)型數(shù)據(jù)速率)。36網(wǎng)絡(luò)是寬廣區(qū)域的蜂窩電話網(wǎng)絡(luò),其演進(jìn)為除了語(yǔ)音呼叫之外還并入高速互聯(lián)網(wǎng)接入和視頻電話。此外,3G網(wǎng)絡(luò)相比于其他網(wǎng)絡(luò)系統(tǒng)可以更多地被建立并且提供更大的覆蓋區(qū)域。這樣的多接入網(wǎng)絡(luò)還可以包括碼分多址(CDMA)系統(tǒng)、時(shí)分多址(TDMA)系統(tǒng)、頻分多址(FDMA)系統(tǒng)、正交頻分多址(OFDMA)系統(tǒng)、單載波FDMA (SC-FDMA)網(wǎng)絡(luò)、第3代合作伙伴計(jì)劃(3GPP)長(zhǎng)期演進(jìn)(LTE)網(wǎng)絡(luò)、以及長(zhǎng)期演進(jìn)高級(jí)(LTE-A)網(wǎng)絡(luò)。
[0005]無(wú)線通信網(wǎng)絡(luò)可以包括多個(gè)基站,多個(gè)基站能夠支持針對(duì)多個(gè)移動(dòng)臺(tái)的通信。移動(dòng)臺(tái)(MS)可以經(jīng)由下行鏈路和上行鏈路與基站(BS)進(jìn)行通信。下行鏈路(或正向鏈路)是指從基站到移動(dòng)臺(tái)的通信鏈路,并且上行鏈路(或反向鏈路)是指從移動(dòng)臺(tái)到基站的通信鏈路?;究梢栽谙滦墟溌飞舷蛞苿?dòng)臺(tái)發(fā)射數(shù)據(jù)和控制信息,和/或可以在上行鏈路上從移動(dòng)臺(tái)接收數(shù)據(jù)和控制信息。

【發(fā)明內(nèi)容】

[0006]本公開(kāi)的某些方面一般性地涉及全差分相位檢測(cè)器,其可以使用標(biāo)準(zhǔn)數(shù)字單元來(lái)實(shí)施。差分相位檢測(cè)器可以使用在用于各種目的的各種通信設(shè)備中。它的一個(gè)示例是使用在用來(lái)生成具有參考信號(hào)的頻率的整數(shù)倍頻率的輸出信號(hào)的乘法延遲鎖相環(huán)(MDLL)中。
[0007]本公開(kāi)的某些方面提供了一種相位檢測(cè)電路。該相位檢測(cè)電路一般包括:用于參考信號(hào)的第一輸入;用于將要與參考信號(hào)進(jìn)行比較的輸入信號(hào)的第二輸入;置位復(fù)位(S-R)鎖存器,具有置位輸入、復(fù)位輸入、第一輸出和第二輸出;以及延遲(D)觸發(fā)器,具有邏輯輸入、時(shí)鐘輸入、復(fù)位輸入和邏輯輸出,其中第一輸入與S-R鎖存器的復(fù)位輸入連接,其中第二輸入與S-R鎖存器的置位輸入連接,其中S-R鎖存器的第一輸出與D觸發(fā)器的時(shí)鐘輸入連接,并且其中S-R鎖存器的第二輸出與D觸發(fā)器的復(fù)位輸入連接,以使得D觸發(fā)器的邏輯輸出指示輸入信號(hào)是超前于還是滯后于參考信號(hào)。
[0008]根據(jù)某些方面,D觸發(fā)器的邏輯輸入被保持為邏輯高電平。
[0009]根據(jù)某些方面,第一反相器可以連接在S-R鎖存器的第一輸出與D觸發(fā)器的時(shí)鐘輸入之間。對(duì)于某些方面,第二反相器也可以連接在S-R鎖存器的第二輸出與D觸發(fā)器的復(fù)位輸入之間。
[0010]根據(jù)某些方面,第一邏輯“與”門(mén)可以連接在S-R鎖存器的第一輸入與復(fù)位輸入之間。對(duì)于某些方面,第二邏輯“與”門(mén)可以連接在S-R鎖存器的第二輸入與置位輸入之間。在一些方面中,相位檢測(cè)電路可以包括使能輸入,該使能輸入可以與第一邏輯“與”門(mén)處的第一輸入進(jìn)行邏輯“與”,并且與第二邏輯“與”門(mén)處的第二輸入進(jìn)行邏輯“與”。
[0011]根據(jù)某些方面,S-R鎖存器與第一和第二輸入連接,以使得相位檢測(cè)電路是全差分的。
[0012]根據(jù)某些方面,S-R鎖存器被配置為對(duì)參考信號(hào)和輸入信號(hào)的上升邊沿進(jìn)行響應(yīng)。
[0013]根據(jù)某些方面,S-R鎖存器包括兩個(gè)交叉耦合的邏輯“與非”門(mén)。
[0014]本公開(kāi)的某些方面提供了一種延遲鎖相環(huán)(DLL)。該DLL—般包括:用于參考信號(hào)的輸入;用于關(guān)于參考信號(hào)被延遲的信號(hào)的輸出;延遲鏈,包括用于生成被延遲的信號(hào)的一個(gè)或多個(gè)延遲門(mén),其中延遲門(mén)中的至少一個(gè)延遲門(mén)與DLL的輸出連接;選擇邏輯,與DLL的輸出連接并且被配置為基于被延遲的信號(hào)來(lái)輸出選擇信號(hào);復(fù)用器,由選擇信號(hào)控制為在參考信號(hào)與被延遲的信號(hào)之間進(jìn)行選擇,以便輸出給延遲鏈中的延遲門(mén)中的第一延遲門(mén);以及相位檢測(cè)電路,用于把被延遲的信號(hào)與參考信號(hào)進(jìn)行比較。相位檢測(cè)電路一般包括:S-R鎖存器,具有置位輸入、復(fù)位輸入、第一輸出和第二輸出;以及D觸發(fā)器,具有邏輯輸入、時(shí)鐘輸入、復(fù)位輸入和邏輯輸出,其中DLL的輸入與S-R鎖存器的復(fù)位輸入連接,其中DLL的輸出與S-R鎖存器的置位輸入連接,其中S-R鎖存器的第一輸出與D觸發(fā)器的時(shí)鐘輸入連接,并且其中S-R鎖存器的第二輸出與D觸發(fā)器的復(fù)位輸入連接,以使得D觸發(fā)器的邏輯輸出指示被延遲的信號(hào)是超前于還是滯后于參考信號(hào)。
[0015]根據(jù)某些方面,DLL是乘法DLL(MDLL),該乘法DLL被配置以使得在DLL的輸出處的被延遲的信號(hào)具有等于參考信號(hào)的頻率乘以乘法因子的頻率。對(duì)于某些方面,選擇邏輯包括計(jì)數(shù)器,該計(jì)數(shù)器隨著被延遲的信號(hào)的每個(gè)下降邊沿而將值遞增一,并且選擇邏輯在計(jì)數(shù)器的值等于乘法因子之后輸出具有邏輯高電平的選擇信號(hào)。隨著被延遲的信號(hào)的下一上升邊沿,計(jì)數(shù)器的值被復(fù)位到0,并且選擇邏輯輸出具有邏輯低電平的選擇信號(hào)。
[0016]根據(jù)某些方面,D觸發(fā)器的邏輯輸入被保持為邏輯高電平。
[0017]根據(jù)某些方面,DLL進(jìn)一步包括:第一反相器,連接在S-R鎖存器的第一輸出與D觸發(fā)器的時(shí)鐘輸入之間;以及第二反相器,連接在S-R鎖存器的第二輸出與D觸發(fā)器的復(fù)位輸入之間。
[0018]根據(jù)某些方面,DLL進(jìn)一步包括:第一邏輯“與”門(mén),連接在DLL的輸入與S-R鎖存器的復(fù)位輸入之間;以及第二邏輯“與”門(mén),連接在DLL的輸出與S-R鎖存器的置位輸入之間。在這種情況中,選擇信號(hào)可以與第一邏輯“與”門(mén)處的DLL的輸入進(jìn)行邏輯“與”,并且還可以與第二邏輯“與”門(mén)處的DLL的輸出進(jìn)行邏輯“與”。
[0019]根據(jù)某些方面,S-R鎖存器與DLL的輸入和DLL的輸出連接,以使得相位檢測(cè)電路是全差分的。
[0020]根據(jù)某些方面,S-R鎖存器被配置為對(duì)參考信號(hào)和被延遲的信號(hào)的上升邊沿進(jìn)行響應(yīng)。
[0021]本公開(kāi)的某些方面提供了一種用于無(wú)線通信的裝置。該裝置一般包括:至少一個(gè)天線;以及用于經(jīng)由該至少一個(gè)天線來(lái)接收信號(hào)的接收器、或者用于經(jīng)由該至少一個(gè)天線來(lái)發(fā)射信號(hào)的發(fā)射器中的至少一個(gè),其中接收器或發(fā)射器中的該至少一個(gè)包括延遲鎖相環(huán)(DLL)。該DLL通常包括:用于參考信號(hào)的輸入;用于關(guān)于參考信號(hào)被延遲的信號(hào)的輸出;延遲鏈,包括用于生成被延遲的信號(hào)的一個(gè)或多個(gè)延遲門(mén),其中延遲門(mén)中的至少一個(gè)延遲門(mén)與DLL的輸出連接;選擇邏輯,與DLL的輸出連接并且被配置為基于被延遲的信號(hào)來(lái)輸出選擇信號(hào);復(fù)用器,由選擇信號(hào)控制為在參考信號(hào)與被延遲的信號(hào)之間進(jìn)行選擇,以便輸出給延遲鏈中的延遲門(mén)中的第一延遲門(mén);以及相位檢測(cè)電路,用于把被延遲的信號(hào)與參考信號(hào)進(jìn)行比較。相位檢測(cè)電路一般包括:S-R鎖存器,具有置位輸入、復(fù)位輸入、第一輸出和第二輸出;以及D觸發(fā)器,具有邏輯輸入、時(shí)鐘輸入、復(fù)位輸入和邏輯輸出,其中DLL的輸入與S-R鎖存器的復(fù)位輸入連接,其中DLL的輸出與S-R鎖存器的置位輸入連接,其中S-R鎖存器的第一輸出與D觸發(fā)器的時(shí)鐘輸入連接,并且其中S-R鎖存器的第二輸出與D觸發(fā)器的復(fù)位輸入連接,以使得D觸發(fā)器的邏輯輸出指示被延遲的信號(hào)是超前于還是滯后于參考信號(hào)。
【附圖說(shuō)明】
[0022]因此,上文所記載的本公開(kāi)的特征能夠詳細(xì)被理解的方式、上文簡(jiǎn)略概述的更加特別的描述,可以通過(guò)參考各方面而得到,這些方面中的一些方面被圖示在附圖中。然而,將注意到,附圖僅圖示了這一公開(kāi)的某些典型方面,并且因此不被考慮為是對(duì)它的范圍的限制,因?yàn)楸久枋隹梢约尤氲狡渌韧行У姆矫妗?br>[0023]圖1圖示了根據(jù)本公開(kāi)的某些方面的示例無(wú)線通信網(wǎng)絡(luò)。
[0024]圖2是根據(jù)本公開(kāi)的某些方面的示例接入點(diǎn)(AP)和用戶終端的框圖。
[0025]圖3是根據(jù)本公開(kāi)的某些方面的示例收發(fā)器前端的框圖。
[0026]圖4是根據(jù)本公開(kāi)的某些方面的使用延遲(D)觸發(fā)器作為相位檢測(cè)器的示例乘法延遲鎖相環(huán)(MDLL)的框圖。
[0027]圖5是根據(jù)本公開(kāi)的某些方面的使用全差分bang-bang相位檢測(cè)器(BBPD)的示例MDLL的框圖。
【具體實(shí)施方式】
[0028]本公開(kāi)的各種方面在下面被描述。應(yīng)當(dāng)明顯的是,本文的教導(dǎo)可以用各種各樣的形式被具體化,并且本文所公開(kāi)的任何具體結(jié)構(gòu)、功能、或者這兩者僅是表示性的。基于本文的教導(dǎo),本領(lǐng)域的技術(shù)人員應(yīng)當(dāng)意識(shí)到,本文所公開(kāi)的方面可以獨(dú)立于任何其他方面而被實(shí)施,并且這些方面中的兩個(gè)或更多方面可以用各種方式而被組合。例如,可以使用本文所闡述的任何數(shù)目的方面來(lái)實(shí)施裝置或者實(shí)行方法。另外,可以使用其他結(jié)構(gòu)、功能、或者附加于或不同于本文所闡述的方面中的一個(gè)或多個(gè)方面的結(jié)構(gòu)和功能,來(lái)實(shí)施這樣的裝置或者實(shí)行這樣的方法。此外,一個(gè)方面可以包括權(quán)利要求的至少一個(gè)元素。
[0029]詞語(yǔ)“示例性”在本文中用來(lái)意指“用作示例、實(shí)例、或例證”。本文中被描述為“示例性”的任何方面并不必然被解釋為相對(duì)于其他方面是優(yōu)選的或者有利的。
[0030]本文所描述的技術(shù)可以與各種無(wú)線技術(shù)組合地被使用,各種無(wú)線技術(shù)諸如碼分多址(CDMA)、正交頻分復(fù)用(OFDM)、時(shí)分多址(TDMA)、空分多址(SDMA)、單載波頻分多址(SC-FDMA)、時(shí)分同步碼分多址(TD-SCDMA),等等。多個(gè)用戶終端能夠經(jīng)由不同的(I)用于CDMA的正交碼信道、(2)用于TDMA的時(shí)隙、或者(3)用于OFDM的子頻帶,來(lái)并發(fā)地發(fā)射/接收數(shù)據(jù)。CDMA系統(tǒng)可以實(shí)施IS-2000、IS-95、IS-856、寬帶-CDMA(W-CDMA)、或者一些其他標(biāo)準(zhǔn)。OFDM系統(tǒng)可以實(shí)施電氣和電子工程師協(xié)會(huì)(IEEE)802.11、IEEE 802.16、長(zhǎng)期演進(jìn)(LTE)(例如,以TDD模式和/或FDD模式)、或者一些其他標(biāo)準(zhǔn)。TDMA系統(tǒng)可以實(shí)施全球移動(dòng)通信系統(tǒng)(GSM)或者一些其他標(biāo)準(zhǔn)。這些各種標(biāo)準(zhǔn)在本領(lǐng)域中是已知的。
[0031]示例無(wú)線系統(tǒng)
[0032]圖1圖示了具有接入點(diǎn)和用戶終端的無(wú)線通信系統(tǒng)100。為了簡(jiǎn)單,僅一個(gè)接入點(diǎn)110被示出在圖1中。接入點(diǎn)(AP) —般是與用戶終端進(jìn)行通信的固定站并且也可以被稱為基站(BS)、演進(jìn)型節(jié)點(diǎn)B(eNB)、或者一些其他術(shù)語(yǔ)。用戶終端(UT)可以是固定的或移動(dòng)的,并且也可以被稱為移動(dòng)臺(tái)(MS)、接入終端、用戶設(shè)備(UE)、站點(diǎn)(STA)、客戶端、無(wú)線設(shè)備、或者一些其他術(shù)語(yǔ)。用戶終端可以是無(wú)線設(shè)備,諸如蜂窩電話、個(gè)人數(shù)字助理(PDA)、手持式設(shè)備、無(wú)線調(diào)制解調(diào)器、膝上型計(jì)算機(jī)、平板計(jì)算機(jī)、個(gè)人計(jì)算機(jī),等等。
[0033]接入點(diǎn)110可以在任何給定時(shí)刻在下行鏈路和上行鏈路上與一個(gè)或多個(gè)用戶終端120進(jìn)行通信。下行鏈路(S卩,正向鏈路)是從接入點(diǎn)到用戶終端的通信鏈路,并且上行鏈路(即,反向鏈路)是從用戶終端到接入點(diǎn)的通信鏈路。用戶終端還可以點(diǎn)對(duì)點(diǎn)地與另一用戶終端進(jìn)行通信。系統(tǒng)控制器130耦合到接入點(diǎn)并且為接入點(diǎn)提供協(xié)調(diào)和控制。
[0034]系統(tǒng)100采用多發(fā)射和多接收天線用于下行鏈路和上行鏈路上的數(shù)據(jù)傳輸。接入點(diǎn)110可以被裝備有數(shù)目Nap個(gè)天線來(lái)實(shí)現(xiàn)用于下行鏈路傳輸?shù)陌l(fā)射分集和/或用于上行鏈路傳輸?shù)慕邮辗旨?。所選擇的用戶終端120的集合Nu可以接收下行鏈路傳輸并且發(fā)射上行鏈路傳輸。每個(gè)所選擇的用戶終端向接入點(diǎn)發(fā)射特定于用戶的數(shù)據(jù),和/或從接入點(diǎn)接收特定于用戶的數(shù)據(jù)。一般而言,每個(gè)所選擇的用戶終端可以被裝備有一個(gè)或多個(gè)天線(即,Nut多1)。仏個(gè)所選擇的用戶終端能夠具有相同或不同數(shù)目的天線。
[0035]無(wú)線系統(tǒng)100可以是時(shí)分雙工(TDD)系統(tǒng)或頻分雙工(FDD)系統(tǒng)。對(duì)于TDD系統(tǒng),下行鏈路和上行鏈路共享相同的頻帶。對(duì)于roD系統(tǒng),下行鏈路和上行鏈路使用不同的頻帶。系統(tǒng)100還可以利用單個(gè)載波或多個(gè)載波用于傳輸。每個(gè)用戶終端可以被裝備有單個(gè)天線(例如,為了保持成本下降)或多個(gè)天線(例如,在附加成本能夠被支持的場(chǎng)合)。
[0036]圖2示出了無(wú)線系統(tǒng)100中的接入點(diǎn)110和兩個(gè)用戶終端120m和120x的框圖。接入點(diǎn)110被裝備有Nap個(gè)天線224a至224ap。用戶終端120m被裝備有Nut,m個(gè)天線252ma至252mu,并且用戶終端120x被裝備有Nut,x個(gè)天線252xa至252xu。接入點(diǎn)110是針對(duì)下行鏈路的發(fā)射實(shí)體和針對(duì)上行鏈路的接收實(shí)體。每個(gè)用戶終端120是針對(duì)上行鏈路的發(fā)射實(shí)體和針對(duì)下行鏈路的接收實(shí)體。如本文所使用的,“發(fā)射實(shí)體”是能夠經(jīng)由頻率信道發(fā)射數(shù)據(jù)的獨(dú)立操作的裝置或設(shè)備,并且“接收實(shí)體”是能夠經(jīng)由頻率信道接收數(shù)據(jù)的獨(dú)立操作的裝置或設(shè)備。在以下描述中,下標(biāo)“dn”標(biāo)示下行鏈路,下標(biāo)“up”標(biāo)示上行鏈路,Nup個(gè)用戶終端被選擇用于上行鏈路上的同時(shí)傳輸,Ndn個(gè)用戶終端被選擇用于下行鏈路上的同時(shí)傳輸,Nup可以等于或者可以不等于Ndn,并且Nup和Ndn可以是靜態(tài)值或能夠針對(duì)每個(gè)調(diào)度間隔而改變。波束調(diào)向或一些其他空間處理技術(shù)可以被使用在接入點(diǎn)和用戶終端處。
[0037]在上行鏈路上,在被選擇用于上行鏈路傳輸?shù)拿總€(gè)用戶終端120處,TX數(shù)據(jù)處理器288接收來(lái)自數(shù)據(jù)源286的業(yè)務(wù)數(shù)據(jù)、以及來(lái)自控制器280的控制數(shù)據(jù)。TX數(shù)據(jù)處理器288基于與被選擇用于用戶終端的速率相關(guān)聯(lián)的編碼和調(diào)制方案來(lái)處理(例如,編碼、交錯(cuò)、和調(diào)制)用于用戶終端的業(yè)務(wù)數(shù)據(jù){dup},并且提供用于Nut,m個(gè)天線中的一個(gè)天線的數(shù)據(jù)符號(hào)流{sup}。收發(fā)器前端(TX/RX)254(也作為射頻前端(RFFE)而已知)接收并處理(例如,轉(zhuǎn)換到模擬、放大、濾波、和上變頻)相應(yīng)的符號(hào)流以生成上行鏈路信號(hào)。收發(fā)器前端254還可以將上行鏈路信號(hào)路由到Nut,?個(gè)天線中的一個(gè)天線以用于經(jīng)由例如RF開(kāi)關(guān)的發(fā)射分集。控制器280可以控制收發(fā)器前端254內(nèi)的路由。存儲(chǔ)器282可以存儲(chǔ)用于用戶終端120的數(shù)據(jù)和程序代碼,并且可以與控制器280進(jìn)行交互。
[0038]數(shù)目Nup個(gè)用戶終端可以被調(diào)度用于上行鏈路上的同時(shí)傳輸。這些用戶終端中的每個(gè)用戶終端在上行鏈路上向接入點(diǎn)發(fā)射它的經(jīng)處理的符號(hào)流的集合。
[0039]在接入點(diǎn)110處,Nap個(gè)天線224a至224ap從在上行鏈路上進(jìn)行發(fā)射的全部Nup個(gè)用戶終端接收上行鏈路信號(hào)。為了接收分集,收發(fā)器前端222可以選擇從天線224中的一個(gè)天線所接收的信號(hào)以用于處理。對(duì)于本公開(kāi)的某些方面,從多個(gè)天線224所接收的信號(hào)的組合可以被組合用于增強(qiáng)型接收分集。接入點(diǎn)的收發(fā)器前端222還執(zhí)行與用戶終端的收發(fā)器前端254所執(zhí)行的處理互補(bǔ)的處理,并且提供經(jīng)恢復(fù)的上行鏈路數(shù)據(jù)符號(hào)流。經(jīng)恢復(fù)的上行鏈路數(shù)據(jù)符號(hào)流是用戶終端所發(fā)射的數(shù)據(jù)符號(hào)流{sup}的估計(jì)。RX數(shù)據(jù)處理器242根據(jù)針對(duì)上行鏈路數(shù)據(jù)符號(hào)流所使用的速率來(lái)處理(例如,解調(diào)、解交錯(cuò)、以及解碼)經(jīng)恢復(fù)的該流以獲得經(jīng)解碼的數(shù)據(jù)。針對(duì)每個(gè)用戶終端的經(jīng)解碼的數(shù)據(jù)可以被提供給數(shù)據(jù)匯244以用于存儲(chǔ)和/或提供給控制器230以用于進(jìn)一步處理。
[0040]在下行鏈路上,在接入點(diǎn)110處,TX數(shù)據(jù)處理器210接收針對(duì)被調(diào)度用于下行鏈路傳輸?shù)腘dn個(gè)用戶終端的來(lái)自數(shù)據(jù)源208的業(yè)務(wù)數(shù)據(jù)、來(lái)自控制器230的控制數(shù)據(jù)、以及可能有來(lái)自調(diào)度器234的其他數(shù)據(jù)。各種類(lèi)型的數(shù)據(jù)可以在不同的運(yùn)輸信道上被發(fā)送。TX數(shù)據(jù)處理器210基于針對(duì)每個(gè)用戶終端所選擇的速率來(lái)處理(例如,編碼、交錯(cuò)、以及調(diào)制)用于該用戶終端的業(yè)務(wù)數(shù)據(jù)。TX數(shù)據(jù)處理器210可以提供用于Ndn個(gè)用戶終端中的一個(gè)或多個(gè)用戶終端的下行鏈路數(shù)據(jù)符號(hào)流,以從Nap個(gè)天線中的一個(gè)天線被發(fā)射。收發(fā)器前端222接收并處理(例如,轉(zhuǎn)換到模擬、放大、濾波、以及上變頻)符號(hào)流以生成下行鏈路信號(hào)。收發(fā)器前端222還可以將下行鏈路信號(hào)路由到Nap個(gè)天線224中的一個(gè)或多個(gè)天線,以用于經(jīng)由例如RF開(kāi)關(guān)的發(fā)射分集??刂破?30可以控制收發(fā)器前端222內(nèi)的路由。存儲(chǔ)器232可以存儲(chǔ)用于接入點(diǎn)110的數(shù)據(jù)和程序代碼,并且可以與控制器230進(jìn)行交互。
[0041]在每個(gè)用戶終端120處,Nut,m個(gè)天線252從接入點(diǎn)110接收下行鏈路信號(hào)。為了在用戶終端120處的接收分集,收發(fā)器前端254可以選擇從天線252中的一個(gè)天線所接收的信號(hào)以用于處理。對(duì)于本公開(kāi)的某些方面,從多個(gè)天線252所接收的信號(hào)的組合可以被組合用于增強(qiáng)型接收分集。用戶終端的收發(fā)器前端254還執(zhí)行與接入點(diǎn)的收發(fā)器前端222所執(zhí)行的處理互補(bǔ)的處理,并且提供經(jīng)恢復(fù)的下行鏈路數(shù)據(jù)符號(hào)流。RX數(shù)據(jù)處理器270處理(例如,解調(diào)、解交錯(cuò)、以及解碼)經(jīng)恢復(fù)的下行鏈路數(shù)據(jù)符號(hào)流以獲得針對(duì)用戶終端的經(jīng)解碼的數(shù)據(jù)。
[0042]本領(lǐng)域的技術(shù)人員將認(rèn)識(shí)到,本文所描述的技術(shù)可以一般性地被應(yīng)用在利用任何類(lèi)型的多個(gè)接入方案(諸如,TDMA、SDMA、正交頻分多址(OFDMA)、CDMA、SC-FDMA、TD-SCDMA、以及它們的組合)的系統(tǒng)中。
[0043]圖3是根據(jù)本公開(kāi)的某些方面的示例收發(fā)器前端300(諸如,圖2中的收發(fā)器前端222、254)的框圖。收發(fā)器前端300包括用于經(jīng)由一個(gè)或多個(gè)天線來(lái)發(fā)射信號(hào)的發(fā)射(TX)路徑302(也作為發(fā)射鏈而已知)、以及用于經(jīng)由這些天線來(lái)接收信號(hào)的接收(RX)路徑304(也作為接收鏈而已知)。當(dāng)TX路徑302和RX路徑304共享天線303時(shí),這些路徑可以經(jīng)由接口 306
與天線連接,接口 306可以包括各種適合的RF設(shè)備中的任何RF設(shè)備,諸如雙工器、開(kāi)關(guān)、共用
W mm
TlFT,O
[0044]從數(shù)模轉(zhuǎn)換器(DAC)308接收同相(I)或正交(Q)基帶模擬信號(hào),TX路徑302可以包括基帶濾波器(BBF) 310、混頻器312、驅(qū)動(dòng)器放大器(DA) 314、以及功率放大器316。BBF 310、混頻器312、以及DA 314可以被包括在射頻集成電路(RFIC)中,而PA 316經(jīng)常在RFIC外部。BBF 310對(duì)從DAC 308接收的基帶信號(hào)進(jìn)行濾波,并且混頻器312將經(jīng)濾波的基帶信號(hào)與發(fā)射本地振蕩器(LO)信號(hào)進(jìn)行混頻,以將感興趣的基帶信號(hào)轉(zhuǎn)換到不同的頻率(例如,從基帶上變頻到RF)。這一頻率轉(zhuǎn)換過(guò)程產(chǎn)生了LO頻率與感興趣信號(hào)的頻率的和頻與差頻。和頻與差頻被稱為拍頻。拍頻通常在RF范圍中,從而混頻器312所輸出的信號(hào)通常是RF信號(hào),這些RF信號(hào)在通過(guò)天線303的發(fā)射之前被DA 314并且被PA 316放大。
[0045]RF路徑304包括低噪聲放大器(LNA)322、混頻器324、以及基帶濾波器(BBF)326。LNA 322、混頻器324、以及BBF 326可以被包括在射頻集成電路(RFIC)中,該RFIC可以是或者可以不是包括TX路徑組件的相同RFIC。經(jīng)由天線303接收的RF信號(hào)可以被LNA 322放大,并且混頻器324將經(jīng)放大的RF信號(hào)與接收本地振蕩器(LO)信號(hào)進(jìn)行混頻,以將感興趣的RF信號(hào)轉(zhuǎn)換到不同的基帶頻率(即,下變頻)。在被模數(shù)轉(zhuǎn)換器(ADC)328轉(zhuǎn)換為數(shù)字I或Q信號(hào)以用于數(shù)字信號(hào)處理之前,混頻器324所輸出的基帶信號(hào)可以由BBF 326進(jìn)行濾波。
[0046]盡管對(duì)于LO的輸出合意的是在頻率上保持穩(wěn)定,但是調(diào)諧到不同的頻率表明使用了頻率可變的振蕩器,這牽涉到穩(wěn)定性與可調(diào)諧性之間的折中。當(dāng)前的系統(tǒng)采用具有壓控振蕩器(VCO)的頻率合成器來(lái)生成具有特定調(diào)諧范圍的穩(wěn)定的可調(diào)諧的L0。因此,發(fā)射LO通常由TX頻率合成器318產(chǎn)生,發(fā)射LO在混頻器312中與基帶信號(hào)進(jìn)行混頻之前可以被緩沖或者被放大器320放大。類(lèi)似地,接收LO通常由RX頻率合成器330產(chǎn)生,接收LO在混頻器324中與RF信號(hào)進(jìn)行混頻之前可以被緩沖或者被放大器332放大。
[0047]示例全差分相位檢測(cè)器
[0048]乘法延遲鎖相環(huán)(MDLL)可以用來(lái)生成具有參考信號(hào)的頻率的整數(shù)倍頻率的輸出信號(hào)。圖4是使用延遲(D)觸發(fā)器作為相位檢測(cè)器的示例MDLL 400的框圖。復(fù)用器402可以從延遲線404接收參考信號(hào)(ref)和反饋信號(hào)(fb)作為輸入。復(fù)用器402可以由來(lái)自選擇邏輯塊406的選擇信號(hào)(sel)來(lái)控制,選擇邏輯塊406支配向延遲線404輸出參考信號(hào)或者延遲線反饋。如果參考信號(hào)被選擇,則延遲線404可以由參考信號(hào)的邊沿來(lái)觸發(fā)。如果反饋信號(hào)被選擇,則延遲線404可以作為振蕩器起作用。為了利用MDLL使信號(hào)頻率倍增,第M個(gè)邊沿被參考信號(hào)的邊沿所替代,并且第M個(gè)邊沿與參考邊沿相比較以調(diào)整延遲線的延遲。
[0049]參考信號(hào)和延遲線反饋可以被提供給相位檢測(cè)器408,諸如bang-bang相位檢測(cè)器,其通常利用如所示出的延遲(D)觸發(fā)器而被實(shí)施。在相位檢測(cè)器408處,參考信號(hào)可以被使用作為對(duì)D觸發(fā)器的時(shí)鐘輸入,并且延遲線反饋可以被使用作為數(shù)據(jù)輸入。以這種方式,相位檢測(cè)器408有效地將參考信號(hào)的邊沿與延遲線反饋的邊沿相比較,并且D觸發(fā)器的Q輸出指示延遲線反饋是超前、滯后、還是與參考信號(hào)同相?;谠摫容^,延遲線404的延遲可以被調(diào)整。
[0050]利用D觸發(fā)器來(lái)實(shí)施相位檢測(cè)器408沒(méi)有提供全差分設(shè)計(jì)。因此,相位檢測(cè)器可能具有偏移,該偏移在鎖相時(shí)可能引入有限相位/延遲誤差。這可能增加MDLL中的參考雜散電平,并且可能(有時(shí)顯著地)對(duì)DLL中的時(shí)鐘抖動(dòng)有貢獻(xiàn)。
[0051]因此,所需要的是一種全差分相位檢測(cè)器,其可以被使用在鎖相環(huán)(PLL)或DLL中以避免其中的時(shí)鐘抖動(dòng),并且消除確定性輸入偏移以降低參考雜散電平。
[0052]本公開(kāi)的某些方面提供了一種使用標(biāo)準(zhǔn)數(shù)字單元的全差分相位檢測(cè)電路,其可以消除偏移并且降低參考雜散電平。為了實(shí)施全差分相位檢測(cè)器,可以采用置位復(fù)位(S-R)鎖存器技術(shù),其具有平衡的結(jié)構(gòu)。進(jìn)一步地,由于來(lái)自MDLL的反饋邊沿可能具有比參考邊沿更高的頻率,所以相位檢測(cè)器可以被設(shè)計(jì)為選擇正確的反饋邊沿來(lái)與參考邊沿相比較。另外,因?yàn)镾-R鎖存器可能是電平敏感的,所以相位檢測(cè)器中所采用的S-R鎖存器可以僅對(duì)參考信號(hào)和反饋信號(hào)這兩者的上升邊沿進(jìn)行響應(yīng)。
[0053]圖5是根據(jù)本公開(kāi)的一方面的利用全差分相位檢測(cè)電路實(shí)施的示例MDLL500的框圖cMDLL 500可以包括復(fù)用器402、延遲線404、選擇邏輯塊406、以及bang-bang相位檢測(cè)器(BBPD)502。復(fù)用器402、延遲線404、以及選擇邏輯塊406可以如上文所描述地起作用。在BBPD 502的輸入處的邏輯“與”門(mén)504可以通過(guò)重用選取了用于比較的正確邊沿的選擇信號(hào)來(lái)選通參考信號(hào)和反饋信號(hào)?!芭c”門(mén)504的輸出被提供作為對(duì)具有第一和第二輸出的S-R鎖存器506(例如,由交叉耦合的“與非”門(mén)508組成)的輸入。第一和第二輸出可以由邏輯反相器510進(jìn)行反相并且提供給觸發(fā)器,該觸發(fā)器可以例如是延遲(D)觸發(fā)器512。例如,來(lái)自S-R鎖存器506的第一輸出可以經(jīng)由邏輯反相器510而被提供給D觸發(fā)器512的時(shí)鐘輸入,并且來(lái)自S-R鎖存器的第二輸出可以被提供給D觸發(fā)器的復(fù)位輸入。D觸發(fā)器512的數(shù)據(jù)輸入可以被保持為邏輯高電平?;谶@些輸入,D觸發(fā)器的邏輯輸出可以指示被延遲的信號(hào)是超前于還是滯后于參考信號(hào)。
[0054]在BBH)502的操作期間,如果反饋信號(hào)早于參考信號(hào),則“快速_邊沿”上可能存在上升邊沿,其可以將D觸發(fā)器512的輸出改變到邏輯高電平(指示“早”)。相對(duì)照地,如果反饋信號(hào)晚于參考信號(hào),則“慢速_邊沿”上可能存在上升邊沿,其可以將D觸發(fā)器512復(fù)位到邏輯低電平(指示“晚”)。因?yàn)镈觸發(fā)器512僅對(duì)“快速_邊沿”或“慢速_邊沿”的上升邊沿進(jìn)行反應(yīng),所以如果選擇信號(hào)從高變到低,則BBPD 502的輸出可以不受影響,從而被延遲的信號(hào)是超前于還是滯后于參考信號(hào)的確定被保持。換句話說(shuō),BBPD 502可以自定時(shí)而作出恰當(dāng)決定。
[0055]因?yàn)锽BH)502是利用標(biāo)準(zhǔn)數(shù)字單元(例如,“與”門(mén)504、S-R鎖存器506的“與非”門(mén)508、以及邏輯反相器510)構(gòu)建的,所以該相位檢測(cè)器易于通過(guò)端口連接(port)到不同的過(guò)程節(jié)點(diǎn)中。
[0056]對(duì)于某些方面,BBPD502中的“與”門(mén)504可以被替換為三態(tài)緩沖器,這利用了在緩沖器的輸出處的下拉電阻器。
[0057]上文所描述的各種操作或方法可以通過(guò)能夠執(zhí)行對(duì)應(yīng)功能的任何適合的部件(means)來(lái)執(zhí)行。該部件可以包括各種硬件和/或軟件組件和/或模塊,包括但不限于,電路、專(zhuān)用集成電路(ASIC)、或處理器。一般而言,在有操作被圖示在附圖中的場(chǎng)合,這些操作可以具有帶有類(lèi)似編號(hào)的相應(yīng)的對(duì)應(yīng)的“部件-加-功能”組件。
[0058]例如,用于發(fā)射的部件可以包括發(fā)射器(例如,圖2中所描繪的用戶終端120的收發(fā)器前端254、或圖2中所示出的接入點(diǎn)110的收發(fā)器前端222)、和/或天線(例如,圖2中所描畫(huà)的用戶終端120m的天線252ma至252mu、或圖2中所圖示的接入點(diǎn)110的天線224a至224ap)。用于接收的部件可以包括接收器(例如,圖2中所描繪的用戶終端120的收發(fā)器前端254、或圖2中所示出的接入點(diǎn)110的收發(fā)器前端222)、和/或天線(例如,圖2中所描畫(huà)的用戶終端120m的天線252ma至252mu、或圖2中所圖示的接入點(diǎn)110的天線224a至224ap)。用于處理的部件或用于確定的部件可以包括處理系統(tǒng),該處理系統(tǒng)可以包括一個(gè)或多個(gè)處理器,諸如圖2中所圖示的用戶終端120的RX數(shù)據(jù)處理器270、TX數(shù)據(jù)處理器288、和/或控制器280。
[0059]如本文所使用的,術(shù)語(yǔ)“確定”涵蓋各種各樣的動(dòng)作。例如,“確定”可以包括運(yùn)算、計(jì)算、處理、導(dǎo)出、調(diào)查、查找(例如,在表格、數(shù)據(jù)庫(kù)或另一數(shù)據(jù)結(jié)構(gòu)中查找)、查明等。此外,“確定”可以包括接收(例如,接收信息)、訪問(wèn)(例如,訪問(wèn)存儲(chǔ)器中的數(shù)據(jù))等。此外,“確定”可以包括解析、選擇、選取、建立等。
[0060]如本文所使用的,提及項(xiàng)目列表中的“至少一個(gè)”的短語(yǔ)是指那些項(xiàng)目的任何組合,包括單個(gè)成員。作為一種示例,“8、13或0中的至少一個(gè)”意圖為覆蓋:3、13、0、3-13、3-0、13-0和a-b-Co
[0061]可以利用被設(shè)計(jì)為執(zhí)行本文所描述的功能的通用處理器、數(shù)字信號(hào)處理器(DSP)、專(zhuān)用集成電路(ASIC)、現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)或其他可編程邏輯設(shè)備(PLD)、分立的門(mén)或晶體管邏輯、分立的硬件組件、或者它們的任何組合,來(lái)實(shí)施或執(zhí)行關(guān)于本公開(kāi)所描述的各種說(shuō)明性邏輯塊、模塊和電路。通用處理器可以是微處理器,但是在替換方式中,處理器可以是任何商用的處理器、控制器、微控制器、或狀態(tài)機(jī)。處理器還可以被實(shí)施為計(jì)算設(shè)備的組合,例如DSP和微處理器、多個(gè)微處理器、結(jié)合DSP核心的一個(gè)或多個(gè)微處理器、或者任何其他這樣的配置的組合。
[0062]本文所公開(kāi)的方法包括用于實(shí)現(xiàn)所描述的方法的一個(gè)或多個(gè)步驟或動(dòng)作。不偏離權(quán)利要求的范圍,方法步驟和/或動(dòng)作可以彼此被互換。換句話說(shuō),除非規(guī)定了步驟或動(dòng)作的具體順序,否則在不偏離權(quán)利要求的范圍的情況下,具體步驟和/或動(dòng)作的順序和/或使用可以被修改。
[0063]所描述的功能可以被實(shí)施在硬件、軟件、固件、或它們的任何組合中。如果被實(shí)施在硬件中,則示例硬件配置可以包括無(wú)線節(jié)點(diǎn)中的處理系統(tǒng)。處理系統(tǒng)可以被實(shí)施有總線架構(gòu)。取決于處理系統(tǒng)的具體應(yīng)用和總體設(shè)計(jì)約束,總線可以包括任何數(shù)目的互連總線和橋接器??偩€可以將各種電路鏈接在一起,包括處理器、機(jī)器可讀介質(zhì)、以及總線接口??偩€接口可以用來(lái)經(jīng)由總線將網(wǎng)絡(luò)適配器以及其他事物連接到處理系統(tǒng)。網(wǎng)絡(luò)適配器可以用來(lái)實(shí)施PHY層的信號(hào)處理功能。在用戶終端120的情況下(參見(jiàn)圖1),用戶接口(例如,小鍵盤(pán)、顯示器、鼠標(biāo)、操縱桿等)也可以連接到總線??偩€還可以鏈接各種其他電路,諸如定時(shí)源、外圍設(shè)備、電壓調(diào)節(jié)器、功率管理電路等,它們?cè)诒绢I(lǐng)域中是公知的,并且因此將不再進(jìn)一步描述。
[0064]處理系統(tǒng)可以被配置作為具有一個(gè)或多個(gè)微處理器和外部存儲(chǔ)器的通用處理系統(tǒng),該一個(gè)或多個(gè)微處理器提供處理器功能,該外部存儲(chǔ)器提供機(jī)器可讀介質(zhì)的至少一部分,它們?nèi)客ㄟ^(guò)外部總線架構(gòu)與其他支持電路鏈接在一起。替換地,可以利用具有處理器的ASIC(專(zhuān)用集成電路)、總線接口、在接入終端的情況下的用戶接口、支持電路、以及集成到單個(gè)芯片中的機(jī)器可讀介質(zhì)的至少一部分,或者利用一個(gè)或多個(gè)FPGA(現(xiàn)場(chǎng)可編程門(mén)陣列)、PLD(可編程邏輯設(shè)備)、控制器、狀態(tài)機(jī)、選通邏輯、分立硬件組件、或任何其他適合的電路、或能夠執(zhí)行貫穿這一公開(kāi)所描述的各種功能的電路的任何組合,來(lái)實(shí)施處理系統(tǒng)。本領(lǐng)域的技術(shù)人員將認(rèn)識(shí)到,如何取決于特定應(yīng)用以及對(duì)總系統(tǒng)施加的總設(shè)計(jì)約束而針對(duì)處理系統(tǒng)最佳地實(shí)施所描述的功能。
[0065]將理解,權(quán)利要求不限制于上文所說(shuō)明的精確配置和組件。不偏離權(quán)利要求的范圍,可以在上文所描述的方法和裝置的布置、操作和細(xì)節(jié)中進(jìn)行各種修改、改變和變化。
【主權(quán)項(xiàng)】
1.一種相位檢測(cè)電路,包括: 用于參考信號(hào)的第一輸入; 用于將要與所述參考信號(hào)進(jìn)行比較的輸入信號(hào)的第二輸入; 置位復(fù)位(S-R)鎖存器,具有置位輸入、復(fù)位輸入、第一輸出和第二輸出;以及延遲(D)觸發(fā)器,具有邏輯輸入、時(shí)鐘輸入、復(fù)位輸入和邏輯輸出,其中所述第一輸入與所述S-R鎖存器的所述復(fù)位輸入連接,其中所述第二輸入與所述S-R鎖存器的所述置位輸入連接,其中所述S-R鎖存器的所述第一輸出與所述D觸發(fā)器的所述時(shí)鐘輸入連接,并且其中所述S-R鎖存器的所述第二輸出與所述D觸發(fā)器的所述復(fù)位輸入連接,以使得所述D觸發(fā)器的所述邏輯輸出指示所述輸入信號(hào)是超前于還是滯后于所述參考信號(hào)。2.根據(jù)權(quán)利要求1所述的電路,其中所述D觸發(fā)器的所述邏輯輸入被保持為邏輯高電平。3.根據(jù)權(quán)利要求1所述的電路,進(jìn)一步包括連接在所述S-R鎖存器的所述第一輸出與所述D觸發(fā)器的所述時(shí)鐘輸入之間的第一反相器。4.根據(jù)權(quán)利要求3所述的電路,進(jìn)一步包括連接在所述S-R鎖存器的所述第二輸出與所述D觸發(fā)器的所述復(fù)位輸入之間的第二反相器。5.根據(jù)權(quán)利要求1所述的電路,進(jìn)一步包括連接在所述S-R鎖存器的所述第一輸入與所述復(fù)位輸入之間的第一邏輯“與”門(mén)。6.根據(jù)權(quán)利要求5所述的電路,進(jìn)一步包括連接在所述S-R鎖存器的所述第二輸入與所述置位輸入之間的第二邏輯“與”門(mén)。7.根據(jù)權(quán)利要求6所述的電路,進(jìn)一步包括使能輸入,所述使能輸入與所述第一邏輯“與”門(mén)處的所述第一輸入進(jìn)行邏輯“與”,并且與所述第二邏輯“與”門(mén)處的所述第二輸入進(jìn)行邏輯“與”。8.根據(jù)權(quán)利要求1所述的電路,其中所述S-R鎖存器所述與第一輸入和所述第二輸入連接,以使得所述相位檢測(cè)電路是全差分的。9.根據(jù)權(quán)利要求1所述的電路,其中所述S-R鎖存器被配置為對(duì)所述參考信號(hào)和所述輸入信號(hào)的上升邊沿進(jìn)行響應(yīng)。10.根據(jù)權(quán)利要求1所述的電路,其中所述S-R鎖存器包括兩個(gè)交叉耦合的邏輯“與非”門(mén)。11.一種延遲鎖相環(huán)(DLL),包括: 用于參考信號(hào)的輸入; 用于關(guān)于所述參考信號(hào)被延遲的信號(hào)的輸出; 延遲鏈,包括用于生成被延遲的信號(hào)的一個(gè)或多個(gè)延遲門(mén),其中所述延遲門(mén)中的至少一個(gè)延遲門(mén)與所述DLL的所述輸出連接; 選擇邏輯,與所述DLL的所述輸出連接并且被配置為基于被延遲的信號(hào)來(lái)輸出選擇信號(hào); 復(fù)用器,由所述選擇信號(hào)控制為在所述參考信號(hào)與被延遲的信號(hào)之間進(jìn)行選擇,以便輸出給所述延遲鏈中的所述延遲門(mén)中的第一延遲門(mén);以及 相位檢測(cè)電路,用于把被延遲的信號(hào)與所述參考信號(hào)進(jìn)行比較,所述相位檢測(cè)電路包括: 置位復(fù)位(S-R)鎖存器,具有置位輸入、復(fù)位輸入、第一輸出和第二輸出;以及延遲(D)觸發(fā)器,具有邏輯輸入、時(shí)鐘輸入、復(fù)位輸入和邏輯輸出,其中所述DLL的所述輸入與所述S-R鎖存器的所述復(fù)位輸入連接,其中所述DLL的所述輸出與所述S-R鎖存器的所述置位輸入連接,其中所述S-R鎖存器的所述第一輸出與所述D觸發(fā)器的所述時(shí)鐘輸入連接,并且其中所述S-R鎖存器的所述第二輸出與所述D觸發(fā)器的所述復(fù)位輸入連接,以使得所述D觸發(fā)器的所述邏輯輸出指示被延遲的信號(hào)是超前于還是滯后于所述參考信號(hào)。12.根據(jù)權(quán)利要求11所述的DLL,其中所述DLL是乘法DLL(MDLL),所述乘法DLL被配置為使得在所述DLL的所述輸出處的被延遲的信號(hào)具有等于所述參考信號(hào)的頻率乘以乘法因子的頻率。13.根據(jù)權(quán)利要求12所述的DLL,其中所述選擇邏輯包括計(jì)數(shù)器,所述計(jì)數(shù)器隨著被延遲的信號(hào)的每個(gè)下降邊沿而將值遞增一,其中所述選擇邏輯在所述計(jì)數(shù)器的所述值等于所述乘法因子之后輸出具有邏輯高電平的所述選擇信號(hào),并且其中隨著被延遲的信號(hào)的下一上升邊沿,所述計(jì)數(shù)器的所述值被復(fù)位到O并且所述選擇邏輯輸出具有邏輯低電平的所述選擇信號(hào)。14.根據(jù)權(quán)利要求11所述的DLL,其中所述D觸發(fā)器的所述邏輯輸入被保持為邏輯高電平。15.根據(jù)權(quán)利要求11所述的DLL,進(jìn)一步包括: 第一反相器,連接在所述S-R鎖存器的所述第一輸出與所述D觸發(fā)器的所述時(shí)鐘輸入之間;以及 第二反相器,連接在所述S-R鎖存器的所述第二輸出與所述D觸發(fā)器的所述復(fù)位輸入之間。16.根據(jù)權(quán)利要求11所述的DLL,進(jìn)一步包括: 第一邏輯“與”門(mén),連接在所述DLL的所述輸入與所述S-R鎖存器的所述復(fù)位輸入之間;以及 第二邏輯“與”門(mén),連接在所述DLL的所述輸出與所述S-R鎖存器的所述置位輸入之間。17.根據(jù)權(quán)利要求16所述的DLL,其中所述選擇信號(hào)與所述第一邏輯“與”門(mén)處的所述DLL的所述輸入進(jìn)行邏輯“與”,并且與所述第二邏輯“與”門(mén)處的所述DLL的所述輸出進(jìn)行邏輯“與”。18.根據(jù)權(quán)利要求11所述的DLL,其中所述S-R鎖存器與所述DLL的所述輸入和所述DLL的所述輸出連接,以使得所述相位檢測(cè)電路是全差分的。19.根據(jù)權(quán)利要求11所述的DLL,其中所述S-R鎖存器被配置為對(duì)所述參考信號(hào)和被延遲的信號(hào)的上升邊沿進(jìn)行響應(yīng)。20.一種用于無(wú)線通信的裝置,包括: 至少一個(gè)天線;以及 用于經(jīng)由所述至少一個(gè)天線來(lái)接收信號(hào)的接收器、或者用于經(jīng)由所述至少一個(gè)天線來(lái)發(fā)射信號(hào)的發(fā)射器中的至少一個(gè),其中所述接收器或所述發(fā)射器中的所述至少一個(gè)包括延遲鎖相環(huán)(DLL),所述延遲鎖相環(huán)(DLL)包括: 用于參考信號(hào)的輸入; 用于關(guān)于所述參考信號(hào)被延遲的信號(hào)的輸出; 延遲鏈,包括用于生成被延遲的信號(hào)的一個(gè)或多個(gè)延遲門(mén),其中所述延遲門(mén)中的至少一個(gè)延遲門(mén)與所述DLL的所述輸出連接; 選擇邏輯,與所述DLL的所述輸出連接并且被配置為基于被延遲的信號(hào)來(lái)輸出選擇信號(hào); 復(fù)用器,由所述選擇信號(hào)控制為在所述參考信號(hào)與被延遲的信號(hào)之間進(jìn)行選擇,以便輸出給所述延遲鏈中的所述延遲門(mén)中的第一延遲門(mén);以及 相位檢測(cè)電路,用于把被延遲的信號(hào)與所述參考信號(hào)進(jìn)行比較,所述相位檢測(cè)電路包括: 置位復(fù)位(S-R)鎖存器,具有置位輸入、復(fù)位輸入、第一輸出和第二輸出;以及延遲(D)觸發(fā)器,具有邏輯輸入、時(shí)鐘輸入、復(fù)位輸入和邏輯輸出,其中所述DLL的所述輸入與所述S-R鎖存器的所述復(fù)位輸入連接,其中所述DLL的所述輸出與所述S-R鎖存器的所述置位輸入連接,其中所述S-R鎖存器的所述第一輸出與所述D觸發(fā)器的所述時(shí)鐘輸入連接,并且其中所述S-R鎖存器的所述第二輸出與所述D觸發(fā)器的所述復(fù)位輸入連接,以使得所述D觸發(fā)器的所述邏輯輸出指示被延遲的信號(hào)是超前于還是滯后于所述參考信號(hào)。
【文檔編號(hào)】H03K5/22GK105934884SQ201580005792
【公開(kāi)日】2016年9月7日
【申請(qǐng)日】2015年1月5日
【發(fā)明人】J-y·陳
【申請(qǐng)人】高通股份有限公司
網(wǎng)友詢問(wèn)留言 已有0條留言
  • 還沒(méi)有人留言評(píng)論。精彩留言會(huì)獲得點(diǎn)贊!
1